commit 3aa279bc29ba0174f9a307134eb9eb2626e78119 Author: Byron Lathi Date: Thu Nov 6 21:36:10 2025 -0800 Create project diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..76aace2 --- /dev/null +++ b/.gitignore @@ -0,0 +1,2 @@ +.Xil/ +.venv/ diff --git a/.gitmodules b/.gitmodules new file mode 100644 index 0000000..9081fd7 --- /dev/null +++ b/.gitmodules @@ -0,0 +1,3 @@ +[submodule "sub/taxi"] + path = sub/taxi + url = https://github.com/fpganinja/taxi.git diff --git a/alibaba_pcie.yaml b/alibaba_pcie.yaml new file mode 100644 index 0000000..4d9b24c --- /dev/null +++ b/alibaba_pcie.yaml @@ -0,0 +1,17 @@ +tool: "vivado" + +device_info: + device: "xcku3p-ffvb676-2-e" + +design_info: + sources: "sources.list" + top_module: "alibaba_pcie" + +synthesis_options: + synth_directive: "PerformanceOptimized" + opt_directive: "Explore" + +pnr_options: + place_directive: "Explore" + route_directive: "AggressiveExplore" + diff --git a/init_env.sh b/init_env.sh new file mode 100644 index 0000000..6fa9f4a --- /dev/null +++ b/init_env.sh @@ -0,0 +1,12 @@ +export REPO_TOP=$(git rev-parse --show-toplevel) + +module load verilator +module load gtkwave +module load vivado/2024.2 + +python3 -m venv .venv +. .venv/bin/activate + +pip install -r requirements.txt + + diff --git a/ip/.gitignore b/ip/.gitignore new file mode 100644 index 0000000..4342700 --- /dev/null +++ b/ip/.gitignore @@ -0,0 +1,4 @@ +* +!.gitignore +!*/ +!*/.xci diff --git a/ip/pcie4_uscale_plus_0/pcie4_uscale_plus_0.xci b/ip/pcie4_uscale_plus_0/pcie4_uscale_plus_0.xci new file mode 100644 index 0000000..12e6c28 --- /dev/null +++ b/ip/pcie4_uscale_plus_0/pcie4_uscale_plus_0.xci @@ -0,0 +1,1496 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "pcie4_uscale_plus_0", + "component_reference": "xilinx.com:ip:pcie4_uscale_plus:1.3", + "ip_revision": "28", + "gen_directory": ".", + "parameters": { + "component_parameters": { + "device_port_type": [ { "value": "PCI_Express_Endpoint_device", "resolve_type": "user", "usage": "all" } ], + "xlnx_ref_board": [ { "value": "None", "resolve_type": "user", "usage": "all" } ], + "testname": [ { "value": "pio_writeReadBack_test0", "resolve_type": "user", "usage": "all" } ], + "PL_LINK_CAP_MAX_LINK_SPEED": [ { "value": "8.0_GT/s", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "PL_LINK_CAP_MAX_LINK_WIDTH": [ { "value": "X8", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "REF_CLK_FREQ": [ { "value": "100_MHz", "resolve_type": "user", "usage": "all" } ], + "AXISTEN_IF_EXT_512_CQ_STRADDLE": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "AXISTEN_IF_EXT_512_CC_STRADDLE": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "AXISTEN_IF_EXT_512_RQ_STRADDLE": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "AXISTEN_IF_EXT_512_RC_STRADDLE": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "AXISTEN_IF_EXT_512_RC_4TLP_STRADDLE": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "AXISTEN_IF_RC_STRADDLE": [ { "value": "false", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "axisten_if_enable_client_tag": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_AER_CAP_ECRC_GEN_AND_CHECK_CAPABLE": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "type1_membase_memlimit_enable": [ { "value": "Disabled", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "type1_prefetchable_membase_memlimit": [ { "value": "Disabled", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_CLASS_CODE": [ { "value": "020000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_DEVICE_ID": [ { "value": "9038", "resolve_type": "user", "usage": "all" } ], + "PF0_DEV_CAP2_128B_CAS_ATOMIC_COMPLETER_SUPPORT": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_DEV_CAP2_32B_ATOMIC_COMPLETER_SUPPORT": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_DEV_CAP2_64B_ATOMIC_COMPLETER_SUPPORT": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_DEV_CAP2_TPH_COMPLETER_SUPPORT": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ], + "PF0_LINK_STATUS_SLOT_CLOCK_CONFIG": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "PF0_MSIX_CAP_PBA_BIR": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_PBA_OFFSET": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_BIR": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_OFFSET": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_SIZE": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "PF0_PM_CAP_PMESUPPORT_D1": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_PM_CAP_PMESUPPORT_D3HOT": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_PM_CAP_SUPP_D1_STATE": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF0_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "SRIOV_FIRST_VF_OFFSET": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "PF0_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_SRIOV_FUNC_DEP_LINK": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "PF0_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_SRIOV_VF_DEVICE_ID": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "PF0_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF1_CLASS_CODE": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_DEVICE_ID": [ { "value": "9011", "resolve_type": "user", "usage": "all" } ], + "PF1_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_PBA_BIR": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_BIR": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_SIZE": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_OFFSET": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_PBA_OFFSET": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "PF1_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF1_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SRIOV_FUNC_DEP_LINK": [ { "value": "0001", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SRIOV_VF_DEVICE_ID": [ { "value": "0000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF2_CLASS_CODE": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_DEVICE_ID": [ { "value": "9438", "resolve_type": "user", "usage": "all" } ], + "PF2_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_PBA_BIR": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_BIR": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_SIZE": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_OFFSET": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_PBA_OFFSET": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "PF2_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF2_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_FUNC_DEP_LINK": [ { "value": "0002", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_VF_DEVICE_ID": [ { "value": "0000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF3_CLASS_CODE": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_DEVICE_ID": [ { "value": "9638", "resolve_type": "user", "usage": "all" } ], + "PF3_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_PBA_BIR": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_BIR": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_SIZE": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_OFFSET": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_PBA_OFFSET": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "PF3_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF3_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_FUNC_DEP_LINK": [ { "value": "0003", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_VF_DEVICE_ID": [ { "value": "0000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "TL_PF_ENABLE_REG": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "SRIOV_CAP_ENABLE": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "Component_Name": [ { "value": "pcie4_uscale_plus_0", "resolve_type": "user", "usage": "all" } ], + "PF0_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF1_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF2_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF3_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_PM_CAP_PMESUPPORT_D0": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "PF1_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_class_code_sub": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_vc_cap_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pcie_blk_locn": [ { "value": "X0Y0", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_class_code_base": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_base": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_base": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_class_code_interface": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_base_class_menu": [ { "value": "Network_controller", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "pf0_sub_class_interface_menu": [ { "value": "Ethernet_controller", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_class_code_interface": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_msix_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "AXISTEN_IF_RQ_ALIGNMENT_MODE": [ { "value": "DWORD_Aligned", "resolve_type": "user", "usage": "all" } ], + "AXISTEN_IF_CQ_ALIGNMENT_MODE": [ { "value": "DWORD_Aligned", "resolve_type": "user", "usage": "all" } ], + "alignment_mode_256b": [ { "value": "DWORD_Aligned", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_size": [ { "value": "64", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar1_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar2_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar3_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar4_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar5_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_dev_cap_max_payload": [ { "value": "1024_bytes", "resolve_type": "user", "usage": "all" } ], + "gen_x0y0": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "gen_x0y1": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "gen_x0y2": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "gen_x0y3": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "gen_x0y4": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "gen_x0y5": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "gen_x1y0": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "gen_x1y1": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "gen_x1y2": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "gen_x1y3": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "gen_x1y4": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "gen_x1y5": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_expansion_rom_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_base_class_menu": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf1_sub_class_interface_menu": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf1_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_class_code_sub": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "extended_tag_field": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_expansion_rom_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "vendor_id": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "pf1_vendor_id": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_vendor_id": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_vendor_id": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_tphr_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_tphr_cap_int_vec_mode": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_tphr_cap_dev_specific_mode": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_tphr_cap_st_table_loc": [ { "value": "0x0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_tphr_cap_st_table_size": [ { "value": "0x0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar0_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar0_size": [ { "value": "64", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_cap_ver": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_msi_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "ext_pcie_cfg_space_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "legacy_ext_pcie_cfg_space_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "ext_xvc_vsec_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "acs_ext_cap_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf1_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_ari_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "perf_level": [ { "value": "Extreme", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_base": [ { "value": "02", "resolve_type": "user", "usage": "all" } ], + "axisten_if_width": [ { "value": "256_bit", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar0_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_aer_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_dsn_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_msi_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_msix_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_class_code_interface": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf2_msix_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_expansion_rom_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_base_class_menu": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf2_sub_class_interface_menu": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_class_code_sub": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf2_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar0_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar0_size": [ { "value": "64", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_msi_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_class_code_interface": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf3_msix_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_expansion_rom_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_base_class_menu": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf3_sub_class_interface_menu": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_class_code_sub": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf3_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar0_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar0_size": [ { "value": "64", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_msi_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "mode_selection": [ { "value": "Basic", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "pipe_sim": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "phy_ready_retry": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_ext_clk": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_msi_per_vec_masking": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "coreclk_freq": [ { "value": "500", "resolve_type": "user", "usage": "all" } ], + "plltype": [ { "value": "QPLL1", "resolve_type": "user", "usage": "all" } ], + "axisten_freq": [ { "value": "250", "resolve_type": "user", "usage": "all" } ], + "aspm_support": [ { "value": "No_ASPM", "resolve_type": "user", "usage": "all" } ], + "SRIOV_CAP_ENABLE_EXT": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "cfg_fc_if": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "cfg_ext_if": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "cfg_status_if": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "per_func_status_if": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "cfg_mgmt_if": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "rcv_msg_if": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "cfg_tx_msg_if": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "cfg_ctl_if": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tx_fc_if": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_pcie_drp": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_parity": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "en_pl_ifc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_pcie_conf": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "dis_gt_wizard": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "bmd_pio_mode": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "sriov_exd_mode": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "dbg_checker": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "enable_ibert": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_drp_eyescanrst_woibert": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "two_port_switch": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "two_port_config": [ { "value": "X8G3", "resolve_type": "user", "usage": "all" } ], + "RX_PPM_OFFSET": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_SSC_PPM": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "INS_LOSS_NYQ": [ { "value": "15", "resolve_type": "user", "format": "float", "usage": "all" } ], + "ins_loss_profile": [ { "value": "Add-in_Card", "resolve_type": "user", "usage": "all" } ], + "enable_gen4": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tandem_enable_rfsoc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "local_test": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_transceiver_status_ports": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "gtwiz_in_core": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "gtcom_in_core": [ { "value": "2", "resolve_type": "user", "usage": "all" } ], + "dedicate_perst": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "sys_reset_polarity": [ { "value": "ACTIVE_LOW", "resolve_type": "user", "usage": "all" } ], + "mcap_enablement": [ { "value": "None", "resolve_type": "user", "usage": "all" } ], + "mcap_fpga_bitstream_version": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "enable_code": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "en_ext_ch_gt_drp": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ext_startup_primitive": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "en_gt_selection": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "select_quad": [ { "value": "GTY_Quad_227", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "copy_pf0": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "copy_sriov_pf0": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "cfg_pm_if": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pcie_id_if": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "PL_DISABLE_LANE_REVERSAL": [ { "value": "TRUE", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MSI_X_OPTIONS": [ { "value": "None", "resolve_type": "user", "usage": "all" } ], + "completer_model": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_dbg_descramble": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_jtag_dbg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_ltssm_dbg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tl_credits_cd": [ { "value": "15", "resolve_type": "user", "format": "long", "usage": "all" } ], + "tl_credits_ch": [ { "value": "15", "resolve_type": "user", "format": "long", "usage": "all" } ], + "set_finite_credit": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "gen4_eieos_0s7": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "vu9p_board": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ku15p_board": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "PHY_LP_TXPRESET": [ { "value": "4", "resolve_type": "user", "usage": "all" } ], + "SYS_RST_N_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "PCIE_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "gt_drp_clk_src": [ { "value": "Internal", "resolve_type": "user", "usage": "all" } ], + "free_run_freq": [ { "value": "100_MHz", "resolve_type": "user", "usage": "all" } ], + "en_l23_entry": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "aws_mode_value": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ext_sys_clk_bufg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "mult_pf_des": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "axisten_if_enable_msg_route": [ { "value": "00000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "enable_more_clk": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ctrl_skip_mask": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "disable_bram_pipeline": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ecc_pipeline": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "disable_eq_synchronizer": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "axisten_if_enable_rx_msg_intfc": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "axisten_if_enable_msg_route_override": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_auto_rxeq": [ { "value": "False", "resolve_type": "user", "usage": "all" } ], + "msix_type": [ { "value": "HARD", "resolve_type": "user", "usage": "all" } ], + "three_port_switch": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "disable_gt_loc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "disconnect_hot_reset": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ku15p_gt_long_route": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_mark_debug": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "enable_multipf_aer": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "master_cal_only": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "enable_multi_pcie": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "rbar_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf0_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "VFG0_MSIX_CAP_PBA_OFFSET": [ { "value": "00000000", "resolve_type": "user", "usage": "all" } ], + "VFG1_MSIX_CAP_PBA_OFFSET": [ { "value": "00000000", "resolve_type": "user", "usage": "all" } ], + "VFG2_MSIX_CAP_PBA_OFFSET": [ { "value": "00000000", "resolve_type": "user", "usage": "all" } ], + "VFG3_MSIX_CAP_PBA_OFFSET": [ { "value": "00000000", "resolve_type": "user", "usage": "all" } ], + "VFG0_MSIX_CAP_TABLE_OFFSET": [ { "value": "00000000", "resolve_type": "user", "usage": "all" } ], + "VFG1_MSIX_CAP_TABLE_OFFSET": [ { "value": "00000000", "resolve_type": "user", "usage": "all" } ], + "VFG2_MSIX_CAP_TABLE_OFFSET": [ { "value": "00000000", "resolve_type": "user", "usage": "all" } ], + "VFG3_MSIX_CAP_TABLE_OFFSET": [ { "value": "00000000", "resolve_type": "user", "usage": "all" } ], + "VFG0_MSIX_CAP_TABLE_SIZE": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "VFG1_MSIX_CAP_TABLE_SIZE": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "VFG2_MSIX_CAP_TABLE_SIZE": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "VFG3_MSIX_CAP_TABLE_SIZE": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "enable_clkmux": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "use_msix_pfs_for_vfs": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "use_standard_interfaces": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "dma_2rp": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "double_quad": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_epyc_chipset_fix": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "disable_user_clock_root": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_msix_32vec": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "warm_reboot_sbr_fix": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "MASTER_GT": [ { "value": "GTHE4_CHANNEL_X49Y99", "resolve_type": "user", "usage": "all" } ], + "qdma_tph_msix_brams_dis": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "user_interface_signals": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "link_training_signals": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "transmit_fc_interface": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "config_fc_interface": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "config_status_interface": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "config_mgmt_interface": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "rx_mesg_interface": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "config_tx_mesg_interface": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "config_interface": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "config_ctrl_interface": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "config_pwr_mgmt_interface": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "interrupt_interface": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "descrambler_pipe_debug_signals": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "descrambler_user_interface_signals": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "exdes_simulation_tab": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tl_tx_mux_strict_priority": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_usp_ct1h": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_slot_cap_reg": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "slot_cap_reg": [ { "value": "00000040", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "enable_clock_delay_grp": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ] + }, + "model_parameters": { + "PL_LINK_CAP_MAX_LINK_SPEED": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PL_LINK_CAP_MAX_LINK_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "CRM_USER_CLK_FREQ": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "CRM_CORE_CLK_FREQ_500": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "PLL_TYPE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF0_LINK_CAP_ASPM_SUPPORT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXI4_DATA_WIDTH": [ { "value": "256", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PHY_REFCLK_FREQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXI4_TKEEP_WIDTH": [ { "value": "8", "resolve_type": "dependent", "format": "long", "usage": "all" } ], + "AXI4_RQ_TUSER_WIDTH": [ { "value": "62", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXI4_CQ_TUSER_WIDTH": [ { "value": "88", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXI4_RC_TUSER_WIDTH": [ { "value": "75", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXI4_CC_TUSER_WIDTH": [ { "value": "33", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "ARI_CAP_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_ARI_CAP_NEXT_FUNC": [ { "value": "0x04", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_ARI_CAP_NEXT_FUNC": [ { "value": "0x04", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_ARI_CAP_NEXT_FUNC": [ { "value": "0x04", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_ARI_CAP_NEXT_FUNC": [ { "value": "0x04", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXISTEN_IF_CC_ALIGNMENT_MODE": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXISTEN_IF_CQ_ALIGNMENT_MODE": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXISTEN_IF_RC_ALIGNMENT_MODE": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXISTEN_IF_RQ_ALIGNMENT_MODE": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXISTEN_IF_EXT_512_CQ_STRADDLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXISTEN_IF_EXT_512_CC_STRADDLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXISTEN_IF_EXT_512_RQ_STRADDLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXISTEN_IF_EXT_512_RC_STRADDLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXISTEN_IF_EXT_512_RC_4TLP_STRADDLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXISTEN_IF_RC_STRADDLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_AER_CAP_ECRC_GEN_AND_CHECK_CAPABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_AER_CAP_NEXTPTR": [ { "value": "0x1C0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_PCIE_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIE_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_PCIE_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_PCIE_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF0_EXT_PCIE_CFG_SPACE_ENABLED_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF1_EXT_PCIE_CFG_SPACE_ENABLED_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF2_EXT_PCIE_CFG_SPACE_ENABLED_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF3_EXT_PCIE_CFG_SPACE_ENABLED_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_ARI_CAP_NEXTPTR": [ { "value": "0x1C0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR0_APERTURE_SIZE": [ { "value": "0x009", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR0_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR1_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR1_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR2_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR2_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR3_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR4_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR4_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR5_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_CAPABILITY_POINTER": [ { "value": "0x40", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_CLASS_CODE": [ { "value": "0x020000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_DEVICE_ID": [ { "value": "0x9038", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_DEV_CAP2_128B_CAS_ATOMIC_COMPLETER_SUPPORT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_DEV_CAP2_32B_ATOMIC_COMPLETER_SUPPORT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_DEV_CAP2_64B_ATOMIC_COMPLETER_SUPPORT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_DEV_CAP2_TPH_COMPLETER_SUPPORT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_DEV_CAP_EXT_TAG_SUPPORTED": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "PF0_DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_DEV_CAP_MAX_PAYLOAD_SIZE": [ { "value": "0x3", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "DSN_CAP_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_VC_CAP_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_DSN_CAP_NEXTPTR": [ { "value": "0x1C0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_EXPANSION_ROM_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_EXPANSION_ROM_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_INTERRUPT_PIN": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_LINK_STATUS_SLOT_CLOCK_CONFIG": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "PF0_MSIX_CAP_NEXTPTR": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_MSIX_CAP_PBA_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF0_MSIX_CAP_PBA_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_MSI_CAP_MULTIMSGCAP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF0_MSI_CAP_NEXTPTR": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_PM_CAP_NEXTPTR": [ { "value": "0x48", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_PM_CAP_PMESUPPORT_D0": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_PM_CAP_PMESUPPORT_D1": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_PM_CAP_PMESUPPORT_D3HOT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_PM_CAP_SUPP_D1_STATE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR0_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR0_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR1_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR1_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR2_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR2_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR3_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR4_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR4_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR5_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_CAP_INITIAL_VF": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_CAP_TOTAL_VF": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_CAP_VER": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_FIRST_VF_OFFSET": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_FUNC_DEP_LINK": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "0x00000553", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SRIOV_VF_DEVICE_ID": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SUBSYSTEM_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_TPHR_CAP_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_TPHR_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_TPHR_CAP_ST_MODE_SEL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_TPHR_CAP_ST_TABLE_LOC": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_TPHR_CAP_ST_TABLE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_TPHR_CAP_VER": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_TPHR_CAP_ST_MODE_SEL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_TPHR_CAP_ST_MODE_SEL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_TPHR_CAP_ST_MODE_SEL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_TPHR_CAP_DEV_SPECIFIC_MODE": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "PF0_TPHR_CAP_INT_VEC_MODE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_SECONDARY_PCIE_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "MCAP_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_VC_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "SPARE_WORD1": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_AER_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_ARI_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR0_APERTURE_SIZE": [ { "value": "0x009", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR0_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR1_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR1_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR2_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR2_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR3_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR4_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR4_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR5_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_CAPABILITY_POINTER": [ { "value": "0x40", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_CLASS_CODE": [ { "value": "0x058000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_DEVICE_ID": [ { "value": "0x9011", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_DEV_CAP_MAX_PAYLOAD_SIZE": [ { "value": "0x3", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_DSN_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_EXPANSION_ROM_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_EXPANSION_ROM_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF1_INTERRUPT_PIN": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_MSIX_CAP_NEXTPTR": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_MSIX_CAP_PBA_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF1_MSIX_CAP_PBA_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_MSI_CAP_MULTIMSGCAP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF1_MSI_CAP_NEXTPTR": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PM_CAP_NEXTPTR": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR0_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR0_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR1_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR1_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR2_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR2_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR3_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR4_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR4_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR5_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_CAP_INITIAL_VF": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_CAP_TOTAL_VF": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_CAP_VER": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_FIRST_VF_OFFSET": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_FUNC_DEP_LINK": [ { "value": "0x0001", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "0x00000553", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SRIOV_VF_DEVICE_ID": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_TPHR_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_AER_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_ARI_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR0_APERTURE_SIZE": [ { "value": "0x009", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR0_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR1_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR1_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR2_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR2_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR3_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR4_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR4_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR5_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_CAPABILITY_POINTER": [ { "value": "0x40", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_CLASS_CODE": [ { "value": "0x058000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_DEVICE_ID": [ { "value": "0x9438", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_DEV_CAP_MAX_PAYLOAD_SIZE": [ { "value": "0x3", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_DSN_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_EXPANSION_ROM_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_EXPANSION_ROM_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF2_INTERRUPT_PIN": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_MSIX_CAP_NEXTPTR": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_MSIX_CAP_PBA_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF2_MSIX_CAP_PBA_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_MSI_CAP_MULTIMSGCAP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF2_MSI_CAP_NEXTPTR": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_PM_CAP_NEXTPTR": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR0_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR0_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR1_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR1_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR2_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR2_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR3_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR4_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR4_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR5_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_CAP_INITIAL_VF": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_CAP_TOTAL_VF": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_CAP_VER": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_FIRST_VF_OFFSET": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_FUNC_DEP_LINK": [ { "value": "0x0002", "resolve_type": "generated", "format": "bitString", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "0x00000553", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SRIOV_VF_DEVICE_ID": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "enabled": false, "usage": "all" } ], + "PF2_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_TPHR_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_AER_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_ARI_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR0_APERTURE_SIZE": [ { "value": "0x009", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR0_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR1_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR1_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR2_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR2_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR3_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR4_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR4_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR5_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_CAPABILITY_POINTER": [ { "value": "0x40", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_CLASS_CODE": [ { "value": "0x058000", "resolve_type": "generated", "format": "bitString", "enabled": false, "usage": "all" } ], + "PF3_DEVICE_ID": [ { "value": "0x9638", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_DEV_CAP_MAX_PAYLOAD_SIZE": [ { "value": "0x3", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_DSN_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_EXPANSION_ROM_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_EXPANSION_ROM_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF3_INTERRUPT_PIN": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_NEXTPTR": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_MSIX_CAP_PBA_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF3_MSIX_CAP_PBA_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_MSI_CAP_MULTIMSGCAP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF3_MSI_CAP_NEXTPTR": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_PM_CAP_NEXTPTR": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR0_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR0_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR1_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR1_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR2_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR2_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR3_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR4_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR4_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR5_APERTURE_SIZE": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_CAP_INITIAL_VF": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_CAP_TOTAL_VF": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_CAP_VER": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_FIRST_VF_OFFSET": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_FUNC_DEP_LINK": [ { "value": "0x0003", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "0x00000553", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SRIOV_VF_DEVICE_ID": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_TPHR_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PL_UPSTREAM_FACING": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "AXISTEN_IF_LEGACY_MODE_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PL_DISABLE_LANE_REVERSAL": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "PF0_MSI_CAP_PERVECMASKCAP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF1_MSI_CAP_PERVECMASKCAP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF2_MSI_CAP_PERVECMASKCAP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF3_MSI_CAP_PERVECMASKCAP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SRIOV_CAP_ENABLE": [ { "value": "0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "TL_CREDITS_CD": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "TL_CREDITS_CH": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "TL_CREDITS_NPD": [ { "value": "0x004", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "TL_CREDITS_NPH": [ { "value": "0x20", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "TL_CREDITS_PD": [ { "value": "0x3E0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "TL_CREDITS_PH": [ { "value": "0x20", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "EXTENDED_CFG_EXTEND_INTERFACE_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EXT_XVC_VSEC_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "LEGACY_CFG_EXTEND_INTERFACE_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ACS_EXT_CAP_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ACS_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "TL_LEGACY_MODE_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "TL_PF_ENABLE_REG": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VF0_CAPABILITY_POINTER": [ { "value": "0x70", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "TL_COMPLETION_RAM_SIZE": [ { "value": "0x2", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "gen_x0y0_xdc": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "gen_x0y1_xdc": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "gen_x0y2_xdc": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "gen_x0y3_xdc": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "gen_x0y4_xdc": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "gen_x0y5_xdc": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "gen_x1y0_xdc": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "gen_x1y1_xdc": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "gen_x1y2_xdc": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "gen_x1y3_xdc": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "gen_x1y4_xdc": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "gen_x1y5_xdc": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "xlnx_ref_board": [ { "value": "0", "resolve_type": "generated", "usage": "all" } ], + "pcie_blk_locn": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PIPE_SIM": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PHY_READY_RETRY": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXISTEN_IF_ENABLE_CLIENT_TAG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PCIE_FAST_CONFIG": [ { "value": "NONE", "resolve_type": "generated", "usage": "all" } ], + "EXT_STARTUP_PRIMITIVE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PL_INTERFACE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PCIE_CONFIGURATION": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "CFG_STATUS_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "TX_FC_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "CFG_EXT_IF": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "CFG_FC_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PER_FUNC_STATUS_IF": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "CFG_MGMT_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RCV_MSG_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "CFG_TX_MSG_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "CFG_CTL_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "CFG_PM_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PCIE_ID_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MSI_EN": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "MSIX_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PCIE4_DRP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DIS_GT_WIZARD": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "BMD_PIO_MODE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SRIOV_EXD_MODE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DBG_CHECKER": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_IBERT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_DRP_EYESCANRST_WOIBERT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "GEN4_EIEOS_0S7": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "CTRL_SKIP_MASK": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_JTAG_DBG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_LTSSM_DBG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "WARM_REBOOT_SBR_FIX": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "TWO_PORT_SWITCH": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "TWO_PORT_CONFIG": [ { "value": "X8G3", "resolve_type": "generated", "usage": "all" } ], + "TRANSCEIVER_CTRL_STATUS_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SHARED_LOGIC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "GTWIZ_IN_CORE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "GTCOM_IN_CORE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXISTEN_IF_RX_PARITY_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXISTEN_IF_TX_PARITY_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXISTEN_IF_MSIX_RX_PARITY_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "LL_TX_TLP_PARITY_CHK": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "LL_RX_TLP_PARITY_GEN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "TL2CFG_IF_PARITY_CHK": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXISTEN_IF_ENABLE_INTERNAL_MSIX_TABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DEDICATE_PERST": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SYS_RESET_POLARITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MCAP_ENABLEMENT": [ { "value": "NONE", "resolve_type": "generated", "usage": "all" } ], + "MCAP_FPGA_BITSTREAM_VERSION": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "EXT_CH_GT_DRP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_GT_SELECTION": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SELECT_QUAD": [ { "value": "GTY_Quad_227", "resolve_type": "generated", "usage": "all" } ], + "silicon_revision": [ { "value": "Beta", "resolve_type": "generated", "usage": "all" } ], + "DEV_PORT_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VFG0_MSIX_CAP_PBA_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VFG0_MSIX_CAP_PBA_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VFG0_MSIX_CAP_TABLE_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VFG0_MSIX_CAP_TABLE_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VFG0_MSIX_CAP_TABLE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VFG1_MSIX_CAP_PBA_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VFG1_MSIX_CAP_PBA_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VFG1_MSIX_CAP_TABLE_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VFG1_MSIX_CAP_TABLE_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VFG1_MSIX_CAP_TABLE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VFG2_MSIX_CAP_PBA_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VFG2_MSIX_CAP_PBA_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VFG2_MSIX_CAP_TABLE_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VFG2_MSIX_CAP_TABLE_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VFG2_MSIX_CAP_TABLE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VFG3_MSIX_CAP_PBA_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VFG3_MSIX_CAP_PBA_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VFG3_MSIX_CAP_TABLE_BIR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VFG3_MSIX_CAP_TABLE_OFFSET": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VFG3_MSIX_CAP_TABLE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "EN_PARITY": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "INS_LOSS_PROFILE": [ { "value": "ADD-IN_CARD", "resolve_type": "generated", "usage": "all" } ], + "MSI_X_OPTIONS": [ { "value": "None", "resolve_type": "generated", "usage": "all" } ], + "COMPLETER_MODEL": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DBG_DESCRAMBLE_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MSI_INT": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VU9P_BOARD": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PHY_LP_TXPRESET": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "IS_BOARD_PROJECT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "GT_DRP_CLK_SRC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FREE_RUN_FREQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PM_ENABLE_L23_ENTRY": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AWS_MODE_VALUE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MULT_PF_DES": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "EXT_SYS_CLK_BUFG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXISTEN_IF_ENABLE_MSG_ROUTE": [ { "value": "0x00000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXISTEN_IF_ENABLE_RX_MSG_INTFC": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_MORE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DISABLE_BRAM_PIPELINE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ECC_PIPELINE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DISABLE_EQ_SYNCHRONIZER": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_AUTO_RXEQ": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "THREE_PORT_SWITCH": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_MULTIPF_AER": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_MSIX_32VEC": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_CLKMUX": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RBAR_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_RBAR_CAP_BAR0_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_CAP_BAR0_LOWER": [ { "value": "0x0000FFF0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_CAP_BAR1_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_CAP_BAR1_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_CAP_BAR2_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_CAP_BAR2_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_CAP_BAR3_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_CAP_BAR3_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_CAP_BAR4_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_CAP_BAR4_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_CAP_BAR5_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_CAP_BAR5_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR0_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR0_LOWER": [ { "value": "0x0000FFF0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR1_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR1_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR2_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR2_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR3_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR3_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR4_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR4_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR5_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_CAP_BAR5_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR0_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR0_LOWER": [ { "value": "0x0000FFF0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR1_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR1_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR2_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR2_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR3_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR3_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR4_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR4_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR5_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_CAP_BAR5_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR0_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR0_LOWER": [ { "value": "0x0000FFF0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR1_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR1_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR2_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR2_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR3_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR3_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR4_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR4_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR5_UPPER": [ { "value": "0x0000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_CAP_BAR5_LOWER": [ { "value": "0x00000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "RBAR_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_NUM_BAR": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_NUM_BAR": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_NUM_BAR": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_NUM_BAR": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_BAR_INDEX_0": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_BAR_INDEX_1": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_BAR_INDEX_2": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_BAR_INDEX_3": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_BAR_INDEX_4": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_RBAR_BAR_INDEX_5": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_BAR_INDEX_0": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_BAR_INDEX_1": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_BAR_INDEX_2": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_BAR_INDEX_3": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_BAR_INDEX_4": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_RBAR_BAR_INDEX_5": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_BAR_INDEX_0": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_BAR_INDEX_1": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_BAR_INDEX_2": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_BAR_INDEX_3": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_BAR_INDEX_4": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_RBAR_BAR_INDEX_5": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_BAR_INDEX_0": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_BAR_INDEX_1": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_BAR_INDEX_2": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_BAR_INDEX_3": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_BAR_INDEX_4": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_RBAR_BAR_INDEX_5": [ { "value": "0x7", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "USE_STANDARD_INTERFACES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DMA_2RP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SRIOV_ACTIVE_VFS": [ { "value": "252", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MASTER_GT_QUAD_INX": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MASTER_GT_CONTAINER": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "QDMA_TPH_MSIX_BRAMS_DIS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_SLOT_CAP_REG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SLOT_CAP_REG": [ { "value": "0x00000040", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "TANDEM_RFSOC": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintexuplus" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xcku3p" } ], + "PACKAGE": [ { "value": "ffvb676" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Flow" } ], + "IPREVISION": [ { "value": "28" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "." } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "." } ], + "SWVERSION": [ { "value": "2024.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "pci_exp_txn": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "pci_exp_txp": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "pci_exp_rxn": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "pci_exp_rxp": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "user_clk": [ { "direction": "out" } ], + "user_reset": [ { "direction": "out" } ], + "user_lnk_up": [ { "direction": "out" } ], + "s_axis_rq_tdata": [ { "direction": "in", "size_left": "255", "size_right": "0", "driver_value": "0" } ], + "s_axis_rq_tkeep": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "s_axis_rq_tlast": [ { "direction": "in", "driver_value": "0" } ], + "s_axis_rq_tready": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "s_axis_rq_tuser": [ { "direction": "in", "size_left": "61", "size_right": "0", "driver_value": "0" } ], + "s_axis_rq_tvalid": [ { "direction": "in", "driver_value": "0" } ], + "m_axis_rc_tdata": [ { "direction": "out", "size_left": "255", "size_right": "0" } ], + "m_axis_rc_tkeep": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axis_rc_tlast": [ { "direction": "out" } ], + "m_axis_rc_tready": [ { "direction": "in", "driver_value": "0" } ], + "m_axis_rc_tuser": [ { "direction": "out", "size_left": "74", "size_right": "0" } ], + "m_axis_rc_tvalid": [ { "direction": "out" } ], + "m_axis_cq_tdata": [ { "direction": "out", "size_left": "255", "size_right": "0" } ], + "m_axis_cq_tkeep": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axis_cq_tlast": [ { "direction": "out" } ], + "m_axis_cq_tready": [ { "direction": "in", "driver_value": "0" } ], + "m_axis_cq_tuser": [ { "direction": "out", "size_left": "87", "size_right": "0" } ], + "m_axis_cq_tvalid": [ { "direction": "out" } ], + "s_axis_cc_tdata": [ { "direction": "in", "size_left": "255", "size_right": "0", "driver_value": "0" } ], + "s_axis_cc_tkeep": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "s_axis_cc_tlast": [ { "direction": "in", "driver_value": "0" } ], + "s_axis_cc_tready": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "s_axis_cc_tuser": [ { "direction": "in", "size_left": "32", "size_right": "0", "driver_value": "0" } ], + "s_axis_cc_tvalid": [ { "direction": "in", "driver_value": "0" } ], + "cfg_interrupt_int": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "cfg_interrupt_pending": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "cfg_interrupt_sent": [ { "direction": "out" } ], + "cfg_interrupt_msi_enable": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "cfg_interrupt_msi_mmenable": [ { "direction": "out", "size_left": "11", "size_right": "0" } ], + "cfg_interrupt_msi_mask_update": [ { "direction": "out" } ], + "cfg_interrupt_msi_data": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "cfg_interrupt_msi_select": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "cfg_interrupt_msi_int": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "cfg_interrupt_msi_pending_status": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "cfg_interrupt_msi_pending_status_data_enable": [ { "direction": "in", "driver_value": "0" } ], + "cfg_interrupt_msi_pending_status_function_num": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "cfg_interrupt_msi_sent": [ { "direction": "out" } ], + "cfg_interrupt_msi_fail": [ { "direction": "out" } ], + "cfg_interrupt_msi_attr": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "cfg_interrupt_msi_tph_present": [ { "direction": "in", "driver_value": "0" } ], + "cfg_interrupt_msi_tph_type": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "cfg_interrupt_msi_tph_st_tag": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "cfg_interrupt_msi_function_number": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "sys_clk": [ { "direction": "in", "driver_value": "0" } ], + "sys_clk_gt": [ { "direction": "in", "driver_value": "0" } ], + "sys_reset": [ { "direction": "in", "driver_value": "0" } ], + "phy_rdy_out": [ { "direction": "out" } ] + }, + "interfaces": { + "m_axis_cq": { + "vlnv": "xilinx.com:interface:axis:1.0", + "abstraction_type": "xilinx.com:interface:axis_rtl:1.0", + "mode": "master", + "parameters": { + "TDATA_NUM_BYTES": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TDEST_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TID_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TUSER_WIDTH": [ { "value": "88", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TREADY": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TSTRB": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TKEEP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TLAST": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "LAYERED_METADATA": [ { "value": "undef", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "TDATA": [ { "physical_name": "m_axis_cq_tdata" } ], + "TKEEP": [ { "physical_name": "m_axis_cq_tkeep" } ], + "TLAST": [ { "physical_name": "m_axis_cq_tlast" } ], + "TREADY": [ { "physical_name": "m_axis_cq_tready" } ], + "TUSER": [ { "physical_name": "m_axis_cq_tuser" } ], + "TVALID": [ { "physical_name": "m_axis_cq_tvalid" } ] + } + }, + "s_axis_cc": { + "vlnv": "xilinx.com:interface:axis:1.0", + "abstraction_type": "xilinx.com:interface:axis_rtl:1.0", + "mode": "slave", + "parameters": { + "TDATA_NUM_BYTES": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TDEST_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TID_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TUSER_WIDTH": [ { "value": "33", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TREADY": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TSTRB": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TKEEP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TLAST": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "LAYERED_METADATA": [ { "value": "undef", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "TDATA": [ { "physical_name": "s_axis_cc_tdata" } ], + "TKEEP": [ { "physical_name": "s_axis_cc_tkeep" } ], + "TLAST": [ { "physical_name": "s_axis_cc_tlast" } ], + "TREADY": [ { "physical_name": "s_axis_cc_tready" } ], + "TUSER": [ { "physical_name": "s_axis_cc_tuser" } ], + "TVALID": [ { "physical_name": "s_axis_cc_tvalid" } ] + } + }, + "s_axis_rq": { + "vlnv": "xilinx.com:interface:axis:1.0", + "abstraction_type": "xilinx.com:interface:axis_rtl:1.0", + "mode": "slave", + "parameters": { + "TDATA_NUM_BYTES": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TDEST_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TID_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TUSER_WIDTH": [ { "value": "62", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TREADY": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TSTRB": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TKEEP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TLAST": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "LAYERED_METADATA": [ { "value": "undef", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "TDATA": [ { "physical_name": "s_axis_rq_tdata" } ], + "TKEEP": [ { "physical_name": "s_axis_rq_tkeep" } ], + "TLAST": [ { "physical_name": "s_axis_rq_tlast" } ], + "TREADY": [ { "physical_name": "s_axis_rq_tready" } ], + "TUSER": [ { "physical_name": "s_axis_rq_tuser" } ], + "TVALID": [ { "physical_name": "s_axis_rq_tvalid" } ] + } + }, + "m_axis_rc": { + "vlnv": "xilinx.com:interface:axis:1.0", + "abstraction_type": "xilinx.com:interface:axis_rtl:1.0", + "mode": "master", + "parameters": { + "TDATA_NUM_BYTES": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TDEST_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TID_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "TUSER_WIDTH": [ { "value": "75", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TREADY": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TSTRB": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TKEEP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_TLAST": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "LAYERED_METADATA": [ { "value": "undef", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "TDATA": [ { "physical_name": "m_axis_rc_tdata" } ], + "TKEEP": [ { "physical_name": "m_axis_rc_tkeep" } ], + "TLAST": [ { "physical_name": "m_axis_rc_tlast" } ], + "TREADY": [ { "physical_name": "m_axis_rc_tready" } ], + "TUSER": [ { "physical_name": "m_axis_rc_tuser" } ], + "TVALID": [ { "physical_name": "m_axis_rc_tvalid" } ] + } + }, + "RST.sys_rst": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "BOARD.ASSOCIATED_PARAM": [ { "value": "SYS_RST_N_BOARD_INTERFACE", "value_src": "constant", "usage": "all" } ], + "TYPE": [ { "value": "PCIE_PERST", "value_src": "constant", "usage": "all" } ], + "POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "sys_reset" } ] + } + }, + "pcie4_mgt": { + "vlnv": "xilinx.com:interface:pcie_7x_mgt:1.0", + "abstraction_type": "xilinx.com:interface:pcie_7x_mgt_rtl:1.0", + "mode": "master", + "parameters": { + "BOARD.ASSOCIATED_PARAM": [ { "value": "PCIE_BOARD_INTERFACE", "value_src": "constant", "usage": "all" } ] + }, + "port_maps": { + "rxn": [ { "physical_name": "pci_exp_rxn" } ], + "rxp": [ { "physical_name": "pci_exp_rxp" } ], + "txn": [ { "physical_name": "pci_exp_txn" } ], + "txp": [ { "physical_name": "pci_exp_txp" } ] + } + }, + "pcie4_cfg_msi": { + "vlnv": "xilinx.com:interface:pcie3_cfg_msi:1.0", + "abstraction_type": "xilinx.com:interface:pcie3_cfg_msi_rtl:1.0", + "mode": "slave", + "port_maps": { + "attr": [ { "physical_name": "cfg_interrupt_msi_attr" } ], + "data": [ { "physical_name": "cfg_interrupt_msi_data" } ], + "enable": [ { "physical_name": "cfg_interrupt_msi_enable" } ], + "fail": [ { "physical_name": "cfg_interrupt_msi_fail" } ], + "function_number": [ { "physical_name": "cfg_interrupt_msi_function_number" } ], + "int_vector": [ { "physical_name": "cfg_interrupt_msi_int" } ], + "mask_update": [ { "physical_name": "cfg_interrupt_msi_mask_update" } ], + "mmenable": [ { "physical_name": "cfg_interrupt_msi_mmenable" } ], + "pending_status": [ { "physical_name": "cfg_interrupt_msi_pending_status" } ], + "pending_status_data_enable": [ { "physical_name": "cfg_interrupt_msi_pending_status_data_enable" } ], + "pending_status_function_num": [ { "physical_name": "cfg_interrupt_msi_pending_status_function_num" } ], + "select": [ { "physical_name": "cfg_interrupt_msi_select" } ], + "sent": [ { "physical_name": "cfg_interrupt_msi_sent" } ], + "tph_present": [ { "physical_name": "cfg_interrupt_msi_tph_present" } ], + "tph_st_tag": [ { "physical_name": "cfg_interrupt_msi_tph_st_tag" } ], + "tph_type": [ { "physical_name": "cfg_interrupt_msi_tph_type" } ] + } + }, + "pcie4_cfg_interrupt": { + "vlnv": "xilinx.com:interface:pcie3_cfg_interrupt:1.0", + "abstraction_type": "xilinx.com:interface:pcie3_cfg_interrupt_rtl:1.0", + "mode": "slave", + "port_maps": { + "INTx_VECTOR": [ { "physical_name": "cfg_interrupt_int" } ], + "PENDING": [ { "physical_name": "cfg_interrupt_pending" } ], + "SENT": [ { "physical_name": "cfg_interrupt_sent" } ] + } + }, + "CLK.sys_clk": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "sys_clk" } ] + } + }, + "CLK.sys_clk_gt": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "sys_clk_gt" } ] + } + }, + "CLK.user_clk": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "ASSOCIATED_BUSIF": [ { "value": "m_axis_cq:s_axis_cc:s_axis_rq:m_axis_rc", "value_src": "constant", "usage": "all" } ], + "FREQ_HZ": [ { "value": "125000000", "value_src": "constant", "usage": "all" } ], + "ASSOCIATED_RESET": [ { "value": "user_reset", "value_src": "constant", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "user_clk" } ] + } + }, + "RST.user_reset": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "user_reset" } ] + } + } + } + } + } +} \ No newline at end of file diff --git a/requirements.txt b/requirements.txt new file mode 100644 index 0000000..33cce58 --- /dev/null +++ b/requirements.txt @@ -0,0 +1,9 @@ +scapy +cocotb +cocotbext-axi +cocotbext-eth +rtl-manifest +build_fpga>=0.3.2 +fpga-sim>=0.1.0 +peakrdl + diff --git a/sources.list b/sources.list new file mode 100644 index 0000000..f0906fd --- /dev/null +++ b/sources.list @@ -0,0 +1,4 @@ +ip/pcie4_uscale_plus_0/pcie4_uscale_plus_0.xci +src/alibaba_cloud.xdc +src/alibaba_pcie_top.sv +sub/taxi_sources.list diff --git a/src/alibaba_cloud.xdc b/src/alibaba_cloud.xdc new file mode 100644 index 0000000..c7eefeb --- /dev/null +++ b/src/alibaba_cloud.xdc @@ -0,0 +1,54 @@ +# Global clock signal +set_property -dict {LOC E18 IOSTANDARD LVDS} [get_ports Clk_100mhz_p_i] +set_property -dict {LOC D18 IOSTANDARD LVDS} [get_ports Clk_100mhz_n_i] +create_clock -period 10 -name clk_100mhz [get_ports Clk_100mhz_p_i] + +set_property -dict {LOC T7} [get_ports pcie_mgt_refclk_p] +set_property -dict {LOC T6} [get_ports pcie_mgt_refclk_n] +create_clock -period 10 -name pcie_mgt_refclk [get_ports pcie_mgt_refclk_p] + +create_clock -period 4 -name clk_250 + +# LEDS +set_property -dict {LOC B11 IOSTANDARD LVCMOS18} [get_ports { Led_o[0]}] +set_property -dict {LOC C11 IOSTANDARD LVCMOS18} [get_ports { Led_o[1]}] +set_property -dict {LOC A10 IOSTANDARD LVCMOS18} [get_ports { Led_o[2]}] +set_property -dict {LOC B10 IOSTANDARD LVCMOS18} [get_ports { Led_o[3]}] + +set_property -dict {LOC R4} [get_ports {pci_exp_txn[0]}] +set_property -dict {LOC U4} [get_ports {pci_exp_txn[1]}] +set_property -dict {LOC W4} [get_ports {pci_exp_txn[2]}] +set_property -dict {LOC AA4} [get_ports {pci_exp_txn[3]}] +set_property -dict {LOC AC4} [get_ports {pci_exp_txn[4]}] +set_property -dict {LOC AD6} [get_ports {pci_exp_txn[5]}] +set_property -dict {LOC AE8} [get_ports {pci_exp_txn[6]}] +set_property -dict {LOC AF6} [get_ports {pci_exp_txn[7]}] + +set_property -dict {LOC P1} [get_ports {pci_exp_rxn[0]}] +set_property -dict {LOC T1} [get_ports {pci_exp_rxn[1]}] +set_property -dict {LOC V1} [get_ports {pci_exp_rxn[2]}] +set_property -dict {LOC Y1} [get_ports {pci_exp_rxn[3]}] +set_property -dict {LOC AB1} [get_ports {pci_exp_rxn[4]}] +set_property -dict {LOC AD1} [get_ports {pci_exp_rxn[5]}] +set_property -dict {LOC AE3} [get_ports {pci_exp_rxn[6]}] +set_property -dict {LOC AF1} [get_ports {pci_exp_rxn[7]}] + +set_property -dict {LOC R5} [get_ports {pci_exp_txp[0]}] +set_property -dict {LOC U5} [get_ports {pci_exp_txp[1]}] +set_property -dict {LOC W5} [get_ports {pci_exp_txp[2]}] +set_property -dict {LOC AA5} [get_ports {pci_exp_txp[3]}] +set_property -dict {LOC AC5} [get_ports {pci_exp_txp[4]}] +set_property -dict {LOC AD7} [get_ports {pci_exp_txp[5]}] +set_property -dict {LOC AE9} [get_ports {pci_exp_txp[6]}] +set_property -dict {LOC AF7} [get_ports {pci_exp_txp[7]}] + +set_property -dict {LOC P2} [get_ports {pci_exp_rxp[0]}] +set_property -dict {LOC T2} [get_ports {pci_exp_rxp[1]}] +set_property -dict {LOC V2} [get_ports {pci_exp_rxp[2]}] +set_property -dict {LOC Y2} [get_ports {pci_exp_rxp[3]}] +set_property -dict {LOC AB2} [get_ports {pci_exp_rxp[4]}] +set_property -dict {LOC AD2} [get_ports {pci_exp_rxp[5]}] +set_property -dict {LOC AE4} [get_ports {pci_exp_rxp[6]}] +set_property -dict {LOC AF2} [get_ports {pci_exp_rxp[7]}] + +set_property -dict {LOC A9 IOSTANDARD LVCMOS18 PULLUP true} [get_ports pcie_reset_n] \ No newline at end of file diff --git a/src/alibaba_pcie_top.sv b/src/alibaba_pcie_top.sv new file mode 100644 index 0000000..4ad8308 --- /dev/null +++ b/src/alibaba_pcie_top.sv @@ -0,0 +1,167 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 11/05/2025 10:00:52 PM +// Design Name: +// Module Name: alibaba_pcie_top +// Project Name: +// Target Devices: +// Tool Versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + +module alibaba_pcie_top( + input wire [7:0] pci_exp_rxp, + input wire [7:0] pci_exp_rxn, + output wire [7:0] pci_exp_txp, + output wire [7:0] pci_exp_txn, + + output wire [3:0] Led_o, + + input wire pcie_mgt_refclk_p, + input wire pcie_mgt_refclk_n, + + input wire pcie_reset_n +); + + + +logic clk_pcie_gt; +logic clk_pcie; +logic rst_pcie; + +logic clk_250; +logic rst_250; + +logic user_lnk_up; +logic phy_rdy_out; + +taxi_axis_if #(.DATA_W(256), .USER_EN(1), .USER_W(33), .KEEP_W(8)) s_axis_cc(); +taxi_axis_if #(.DATA_W(256), .USER_EN(1), .USER_W(88), .KEEP_W(8)) m_axis_cq(); + +taxi_axis_if #(.DATA_W(256), .USER_EN(1), .USER_W(85), .KEEP_W(8)) s_axis_rq(); +taxi_axis_if #(.DATA_W(256), .USER_EN(1), .USER_W(75), .KEEP_W(8)) m_axis_rc(); + +taxi_axil_if m_axil_rd(); +taxi_axil_if m_axil_wr(); + +IBUFDS_GTE4 m_ibufds ( + .CEB('0), + .I(pcie_mgt_refclk_p), + .IB(pcie_mgt_refclk_n), + .O(clk_pcie_gt), + .ODIV2(clk_pcie) +); + +assign Led_o[0] = user_lnk_up; +assign Led_o[1] = phy_rdy_out; + +taxi_pcie_us_axil_master u_taxi_pcie_us_axil_master ( + .clk (clk_250), + .rst (rst_250), + + .s_axis_cq (m_axis_cq), + .m_axis_cc (s_axis_cc), + + .m_axil_wr (m_axil_wr), + .m_axil_rd (m_axil_rd), + + .completer_id ('0), + .completer_id_en ('0), + + .stat_err_cor (), + .stat_err_uncor () +); + +taxi_axil_ram #( + .ADDR_W(16) +) u_taxi_axil_ram ( + .clk (clk_250), + .rst (rst_250), + + .s_axil_wr (m_axil_wr), + .s_axil_rd (m_axil_rd) +); + +taxi_axis_null_snk u_taxi_axis_null_snk ( + .s_axis (m_axis_rc) +); + +taxi_axis_null_src u_taxi_axis_null_src ( + .m_axis (s_axis_rq) +); + +pcie4_uscale_plus_0 u_pcie4_uscale_plus_0 ( + .pci_exp_txn(pci_exp_txn), + .pci_exp_txp(pci_exp_txp), + .pci_exp_rxn(pci_exp_rxn), + .pci_exp_rxp(pci_exp_rxp), + + .user_clk(clk_250), + .user_reset(rst_250), + .user_lnk_up(user_lnk_up), + + .s_axis_rq_tdata(s_axis_rq.tdata), + .s_axis_rq_tkeep(s_axis_rq.tkeep), + .s_axis_rq_tlast(s_axis_rq.tlast), + .s_axis_rq_tready(s_axis_rq.tready), + .s_axis_rq_tuser(s_axis_rq.tuser), + .s_axis_rq_tvalid(s_axis_rq.tvalid), + + .m_axis_rc_tdata(m_axis_rc.tdata), + .m_axis_rc_tkeep(m_axis_rc.tkeep), + .m_axis_rc_tlast(m_axis_rc.tlast), + .m_axis_rc_tready(m_axis_rc.tready), + .m_axis_rc_tuser(m_axis_rc.tuser), + .m_axis_rc_tvalid(m_axis_rc.tvalid), + + .m_axis_cq_tdata(m_axis_cq.tdata), + .m_axis_cq_tkeep(m_axis_cq.tkeep), + .m_axis_cq_tlast(m_axis_cq.tlast), + .m_axis_cq_tready(m_axis_cq.tready), + .m_axis_cq_tuser(m_axis_cq.tuser), + .m_axis_cq_tvalid(m_axis_cq.tvalid), + + .s_axis_cc_tdata(s_axis_cc.tdata), + .s_axis_cc_tkeep(s_axis_cc.tkeep), + .s_axis_cc_tlast(s_axis_cc.tlast), + .s_axis_cc_tready(s_axis_cc.tready), + .s_axis_cc_tuser(s_axis_cc.tuser), + .s_axis_cc_tvalid(s_axis_cc.tvalid), + + .cfg_interrupt_int('0), + .cfg_interrupt_pending(), + .cfg_interrupt_sent('0), + .cfg_interrupt_msi_enable(), + .cfg_interrupt_msi_mmenable(), + .cfg_interrupt_msi_mask_update(), + .cfg_interrupt_msi_data(), + .cfg_interrupt_msi_select('0), + .cfg_interrupt_msi_int('0), + .cfg_interrupt_msi_pending_status('0), + .cfg_interrupt_msi_pending_status_data_enable('0), + .cfg_interrupt_msi_pending_status_function_num('0), + .cfg_interrupt_msi_sent(), + .cfg_interrupt_msi_fail(), + .cfg_interrupt_msi_attr('0), + .cfg_interrupt_msi_tph_present('0), + .cfg_interrupt_msi_tph_type('0), + .cfg_interrupt_msi_tph_st_tag('0), + .cfg_interrupt_msi_function_number('0), + + .sys_clk(clk_pcie), + .sys_clk_gt(clk_pcie_gt), + .sys_reset(pcie_reset_n), + .phy_rdy_out(phy_rdy_out) +); + +endmodule diff --git a/sub/taxi b/sub/taxi new file mode 160000 index 0000000..c6eac34 --- /dev/null +++ b/sub/taxi @@ -0,0 +1 @@ +Subproject commit c6eac348f65fca73c0afc864cabc3d69213a8363 diff --git a/sub/taxi_sources.list b/sub/taxi_sources.list new file mode 100644 index 0000000..7687638 --- /dev/null +++ b/sub/taxi_sources.list @@ -0,0 +1,65 @@ +taxi/src/axi/rtl/taxi_axi_if.sv +taxi/src/axi/rtl/taxi_axil_register_wr.sv +taxi/src/axi/rtl/taxi_axi_axil_adapter.sv +taxi/src/axi/rtl/taxi_axi_axil_adapter_wr.sv +taxi/src/axi/rtl/taxi_axil_ram.sv +taxi/src/axi/rtl/taxi_axi_adapter.sv +taxi/src/axi/rtl/taxi_axi_adapter_wr.sv +taxi/src/axi/rtl/taxi_axi_fifo_wr.sv +taxi/src/axi/rtl/taxi_axil_adapter_rd.sv +taxi/src/axi/rtl/taxi_axil_apb_adapter.sv +taxi/src/axi/rtl/taxi_axil_register.f +taxi/src/axi/rtl/taxi_axil_adapter.f +taxi/src/axi/rtl/taxi_axil_axi_adapter.f +taxi/src/axi/rtl/taxi_axi_fifo.f +taxi/src/axi/rtl/taxi_axi_register_wr.sv +taxi/src/axi/rtl/taxi_axil_axi_adapter_rd.sv +taxi/src/axi/rtl/taxi_axil_if.sv +taxi/src/axi/rtl/taxi_axil_axi_adapter.sv +taxi/src/axi/rtl/taxi_axil_adapter_wr.sv +taxi/src/axi/rtl/taxi_axi_fifo_rd.sv +taxi/src/axi/rtl/taxi_axi_adapter.f +taxi/src/axi/rtl/taxi_axil_adapter.sv +taxi/src/axi/rtl/taxi_axi_adapter_rd.sv +taxi/src/axi/rtl/taxi_axi_axil_adapter_rd.sv +taxi/src/axi/rtl/taxi_axi_ram.sv +taxi/src/axi/rtl/taxi_axi_axil_adapter.f +taxi/src/axi/rtl/taxi_axil_register.sv +taxi/src/axi/rtl/taxi_axi_register.f +taxi/src/axi/rtl/taxi_axil_register_rd.sv +taxi/src/axi/rtl/taxi_axi_register.sv +taxi/src/axi/rtl/taxi_axil_axi_adapter_wr.sv +taxi/src/axi/rtl/taxi_axi_register_rd.sv +taxi/src/axi/rtl/taxi_axil_dp_ram.sv +taxi/src/axi/rtl/taxi_axi_fifo.sv +taxi/src/axis/rtl/taxi_axis_async_fifo.sv +taxi/src/axis/rtl/taxi_axis_fifo_adapter.sv +taxi/src/axis/rtl/taxi_axis_adapter.sv +taxi/src/axis/rtl/taxi_axis_pipeline_register.sv +taxi/src/axis/rtl/taxi_axis_null_snk.sv +taxi/src/axis/rtl/taxi_axis_switch.f +taxi/src/axis/rtl/taxi_axis_broadcast.sv +taxi/src/axis/rtl/taxi_axis_cobs_decode.sv +taxi/src/axis/rtl/taxi_axis_async_fifo.f +taxi/src/axis/rtl/taxi_axis_cobs_encode.sv +#taxi/src/axis/rtl/taxi_axis_mux.sv +taxi/src/axis/rtl/taxi_axis_async_fifo_adapter.sv +taxi/src/axis/rtl/taxi_axis_async_fifo_adapter.f +taxi/src/axis/rtl/taxi_axis_if.sv +taxi/src/axis/rtl/taxi_axis_arb_mux.sv +taxi/src/axis/rtl/taxi_axis_pipeline_register.f +taxi/src/axis/rtl/taxi_axis_demux.sv +taxi/src/axis/rtl/taxi_axis_register.sv +taxi/src/axis/rtl/taxi_axis_tie.sv +taxi/src/axis/rtl/taxi_axis_pipeline_fifo.sv +taxi/src/axis/rtl/taxi_axis_concat.sv +taxi/src/axis/rtl/taxi_axis_fifo_adapter.f +taxi/src/axis/rtl/taxi_axis_cobs_encode.f +taxi/src/axis/rtl/taxi_axis_arb_mux.f +taxi/src/axis/rtl/taxi_axis_null_src.sv +taxi/src/axis/rtl/taxi_axis_switch.sv +taxi/src/axis/rtl/taxi_axis_fifo.sv +taxi/src/pcie/rtl/taxi_pcie_us_axil_master.sv +taxi/src/pcie/rtl/taxi_pcie_tlp_if.sv +taxi/src/pcie/rtl/taxi_pcie_axil_master.sv +taxi/src/pcie/rtl/taxi_pcie_axil_master_minimal.sv