import cocotb from cocotb.clock import Clock from cocotb.triggers import Timer, RisingEdge, FallingEdge CLK_PERIOD = 4 class TB: def __init__(self, dut): self.dut = dut cocotb.start_soon(Clock(self.dut.i_clk, CLK_PERIOD, units="ns").start()) @cocotb.test async def test_sanity(dut): tb = TB(dut) await RisingEdge(tb.dut.i_clk) await RisingEdge(tb.dut.i_clk)