Create quartus project

This commit is contained in:
Byron Lathi
2022-03-05 17:52:42 -06:00
parent b996d93c99
commit aca17a9cf8
10 changed files with 3761 additions and 1 deletions

11
hw/fpga/super6502.sdc Normal file
View File

@@ -0,0 +1,11 @@
#**************************************************************
# Create Clock (where clk is the user-defined system clock name)
#**************************************************************
create_clock -name {clk} -period 20ns -waveform {0.000 5.000} [get_ports {clk}]
# Constrain the input I/O path
set_input_delay -clock {clk} -max 3 [all_inputs]
set_input_delay -clock {clk} -min 2 [all_inputs]
# Constrain the output I/O path
set_output_delay -clock {clk} 2 [all_outputs]
derive_clock_uncertainty