From bcb5259f92ffa43cbc150ad1a8ea0b4ef854d7d4 Mon Sep 17 00:00:00 2001 From: Byron Lathi Date: Mon, 19 Aug 2024 17:40:50 -0700 Subject: [PATCH] Update network_processor docs, add arp to diagram --- hw/super6502_fpga/sources.list | 3 +- .../src/sub/network_processor/doc/tcp.drawio | 68 ++++++-- .../sub/network_processor/doc/tcp.drawio.png | Bin 65634 -> 159367 bytes .../src/sub/network_processor/src/m2s_dma.sv | 0 .../network_processor/src/packet_generator.sv | 0 .../src/ring_buffer_manager.sv | 0 .../src/sub/network_processor/src/s2m_dma.sv | 0 .../src/sub/network_processor/src/tcp.sv | 164 +++++++++++++++--- .../sub/network_processor/src/tcp_stream.sv | 24 ++- .../sub/network_processor/src/tx_control.sv | 0 10 files changed, 216 insertions(+), 43 deletions(-) create mode 100644 hw/super6502_fpga/src/sub/network_processor/src/m2s_dma.sv create mode 100644 hw/super6502_fpga/src/sub/network_processor/src/packet_generator.sv create mode 100644 hw/super6502_fpga/src/sub/network_processor/src/ring_buffer_manager.sv create mode 100644 hw/super6502_fpga/src/sub/network_processor/src/s2m_dma.sv create mode 100644 hw/super6502_fpga/src/sub/network_processor/src/tx_control.sv diff --git a/hw/super6502_fpga/sources.list b/hw/super6502_fpga/sources.list index f44069d..450c31c 100644 --- a/hw/super6502_fpga/sources.list +++ b/hw/super6502_fpga/sources.list @@ -4,4 +4,5 @@ src/sub/cpu_wrapper/sources.list src/sub/network_processor/sources.list src/sub/rtl-common/sources.list src/sub/sd_controller_wrapper/sources.list -src/sub/wb2axip/sources.list \ No newline at end of file +src/sub/wb2axip/sources.list +src/sub/verilog-ethernet/sources.list \ No newline at end of file diff --git a/hw/super6502_fpga/src/sub/network_processor/doc/tcp.drawio b/hw/super6502_fpga/src/sub/network_processor/doc/tcp.drawio index 753846b..d806725 100644 --- a/hw/super6502_fpga/src/sub/network_processor/doc/tcp.drawio +++ b/hw/super6502_fpga/src/sub/network_processor/doc/tcp.drawio @@ -1,6 +1,6 @@ - + - + @@ -99,8 +99,8 @@ - - + + @@ -198,8 +198,8 @@ - - + + @@ -315,11 +315,11 @@ - + - + @@ -377,16 +377,16 @@ - + - + - + @@ -402,8 +402,8 @@ - - + + @@ -499,7 +499,7 @@ - + @@ -531,12 +531,11 @@ - + - - - - + + + @@ -587,6 +586,37 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/hw/super6502_fpga/src/sub/network_processor/doc/tcp.drawio.png b/hw/super6502_fpga/src/sub/network_processor/doc/tcp.drawio.png index 2b7448667cce3ae5bde99f2c06f68255c182f3a3..c8a2205a0f30937286a14862df39c9bbe76e639e 100644 GIT binary patch literal 159367 zcmeEP2|QF=7}q3Gltfx(muzFkUTN%mGPXi8F&JUQkTtYxwHED3Nwgv@w)SXILZwjA zLWvYY@y?yOR})jblBK-g`@LuG+_~r8bH3&O{lD)!H*Dbo9gZu4;+dG3 z!+GOR4%h{PU&RPetMUU(gKrW1FkS2K<9*+tP>L~-+VB)JlqM6epPzeF%-XR;SX z1gj~6!DzV>?J1sQckm}T?nZVXIg*GDwC^xzjGPo&NeY8DL!(8oYFH)cKg!awXjv=T z`2;7TJA5G{vOmeyl^}xAmX}6@OU+s&D=UIk2Y(ro+`WCl|9zDdmMX}JgTK@~JY0#E z#AOB~3UoeJQ5vfN{lq}m%*ap#qXGVQB`qg{|LG9zmy@BNICv6PN|VUobd0PtMp_9R z(s1@9yMdFmWo0qaXlZ!`S$Sz$1$pqzw};Zk$bqw=`!OXr5A(%5MS=#iG;E7gpU4(IR@g?av>-j4=x}l9Not(N} z4Y{V9uZ}0d!`X=JKy(EccJPI7Oc|p{yQqU7?TD-#2FwL?qfVa4K=8QG0aKDcd`Gh2 zZmFkvlN^X%@Q-PSC}grLh2-)5L3^^hI}n=hP9%7Gl2?9znj_g2emr zYEN+Oe$t0WKK9(1-Yk>uozj4TU;l6vEA1mtA+fR{7DfxMFTd+H(jWuZ+Z zGM%1eGPoYys?mRqoaE-KL3D*?8<|IV93V~q|F@m9;n}G>zjHZfb2oFmv!|b%frcN2 z?B=Lsj9npxm4$`Dhv4cBPcLn*yeNLid^>rPy*+@60_)(aL3Sm3f(dgVIug8H!HfXK zg=E5m@a-<)8wdJ8A;MAzAA%)`3A(zF%GXje;23hHq z-{e985nE?EnUF(HB*3!h)Ol^_X?n9>;2e0l|F=~9U@3sDf(Gx+Qg{JVyqws5G3b}b zo$L-)R2|GYg+OvALaPRB4TJUi!R;WD@duZ2NG_9dHw7U&0Q&^%3)z$6Om-r>6I`{v z9aRS! zl1%qa!vAwzWw-FYSNdSy|Ga|?hBUc6! z=R~ByXZ6k;AQz_^h0Z7V`E6kVeCcBo0^J65!*s@bST^b19TryJe@`|M2?Z8`0C`YE z*gYU+@PfqV=h901J^ggJiaLO54a27a zRcj*F9ylFFE=L`$44e-5=KuN$-qp~L<~00uhSyp@^kfIybTp9K=G<-IM4=@~8hyrVuD}p8*2{2qaq2 z3!P7NAklwxCEG9Wj19gIk!j2rq=xhXHe=k4gz`NLI7W^(%R>bPb%yXiva&-MvBOJ2 z7xXVA0C9lg6CEOm+6ffEw{$hc--nYcok|h-r+Mw z+>l%sZb%6&jfN==I@&=NaoF_ikWv>XJ_yJmgrcRBlWtPc|Dj$l+;e|QA8khZ)KY?U z17v-BMydl~Qz6mqnOE+6k^!C*I#D!R1m;Ks5RIN>z>mf`{OfCY0fTVruFK zNGg6M!0s6eBGS_f0|%@9Pyvk?MW8}-{{X85874%*FyLDS=EE~xB@XhfnfB~t6s8|gFbMRtz!oeZ9dI+cW@2;LQ!|cXT(LT9>t{+hNf4NvHU|C4?B!S-G z&L-DhHb2r4nQ*r(I083ELaE~}QG)^jjdpYd$Gdf1VgdQ9p#i?>4ujxqQ9r`#-h`n) zoB*9C zL#N3eqYSgd1FF`&XsGHQVKCIfPG8b<24Kke5+ojh)C|hCBEDn@M+O5DY|{f+uw~F6 z5V~Ffn4#h%5K8VZ0x$zoq2ER5hGscer&aA)z>yv1h6+OO4B>xdWrr+c$0AEX$G`l8 zP4=C#+ihdU-k|5+6~fXL+R?E?45@D<<$*ZyL6CSt!(1($oOC0HAayqF*S-FF;hqO! z7hR{c^}fV52YO_P16g!XLUkN~?E1`byHel&2sasCLe)onw@pI0&gzyFsu z*`tSR@TtAkzr0)7|Ii*Z%(o9p?HMR|Fd+Fc#G3xIP4<6AYGF3BA8r0XNp1ID0JDFx z$-YyL{vf&?+D-PzR(<`OjrnHa{>>))PPyzE)1u+bMK8QEto8qZed}J|{*N@-cM47) z8nnN-$sXOk!o7R!KHOx_pqln~bKQv9`h8j6a|~dH#-F^g2C4&rkxq|BqVEF$^W>-pkfK74=Uw*>{Rh z9|DR_rAA6Rdfv4MNm9~V_O68>gCKD!+dW&<9Z&Ro;_~k=@#u97gqXPBhaJ7f69dHY z$o6LcsD2m4L6$@R!%g;`#4%>R;4kuh0uleGoU< zBYZI|4j5<^K~#^XqnSHN-tXB6_{70eM-7lS?cV9IMK?MES&DvWvhS3P;U@dlu=4gJqxf5pr|)DF z!8gNA_Cv49UJ+)82UM+lK?c*{N{>5#R%D{1cX7vhnD_ay^T ztltIa`~jis1%MeUP6DCiy5?4YIS3wjp&O72{VqZ`L^H66Rqa{875l%o^tVt@X9)iz zD?5Y*zB?uTFE-gDvfGDQsIG;uL%PWxqd2?^f6u${|6lys3rpGCS{2Ly*i;B>_J5N- zMzMRn{=d~^{|Ag!*CIRFq1XD)j7 z%7`WGM@&0V_N{w;`@hm;j|fg58nmw5VlQ4i%dkeeYvN|$Yoswsa0Z6X5q7IWqk93A zy(_`Xi)0VDx#2h2o4c9gojv{BzAG0)q^}po2v*VGH%4^Tk4S+eeeol5te>iOk%oaE zWh-e0{TEW?kST?VIlAqy_gBBk9-{<<^5Fo#>%NtJ&;KjIX_sDTZ~s?44iuE=o{IXn zn(Psi-iLsqYlPGbK!Nal^p<@v;)(9rqV9O2-*W+feTj!s?_(fXoqr#8^cqhLl;Ijq zOZA*X?I!3%|6kwzE!%>{VxgPvd<%xMvNHVn z+iim{J8=+nX4jkUj*a@P!+_2}AhaHRK!_acH8lUNI&DQc@a77-e_$#ZHfr+Fx1f4Z zK}ks-BPS1o@!xKg`5_Ca^z*yHg3Sb!zugVIBFOE~2%lddCHt|3;s^TMo2|rtNq^-* zPzl5Sp`*WY;59PC`ul@TU19k~!<&C8%Kub

GF|{>uHW`rAEI+eiNQe--9=0Sib0 z&BElBz=?j#@=*`Nu9fD%9e&Hv{xhx-iL(%Ahb?MV*~z0_aiO)Ti8zl`@OUw5$$iw_kaT`WF_e62(^WP z??h`7?a2;APue=siJ~Xj+ueca00$o{okI;3kPdxEPPyyR{q2M1Rm zB{Yh4f zUU)<>*j}WKe<%<1b*qd`8y0r?J%a;;!|X?J@W*quKc5piOVG^_AQqhh>^ae&Oah)) z-Gk^!awAf;TeI^x9WTdFv*}EUBgvQOU`q0bJVh6aE~O0RI~`p~9=h8(9PioqHGL1Y#H$x21L!^`XkfF(@FbX{gZpweck z=QXCCH<%XqkFgrVSq0$GfbjuKj&$CUy*;VX1~~L{tNQ!?gH99?_(^YVkYoLme^5fw zrVQ*Nq6>Y`vnV2%<|m^L1*+u-qVoUx0scoia5$YXthk7$gU}_!b|ET+1tDcT&HY8PmVF13FQ5p{it}q6{mG>!w1V?SJ@g0&gZ8KL1!1#7U{`J8G6u!G${{X!$uCA}ws7!sI%8$8Yg1H*AT zjfAYJX+M=-bP|P&A)MG_KXDi5@=GrQVUQ1Fs0GLp(&InIC-&JEJ%b1SF@8|k!qHiI z*e(z}m(lc*PK^4)u=lT3fOURoWZW6A;Go(ih#D96zRv2;YCCMid(?JW*g5ti<{YRn z19o+EI^7Shp^J(Co%o^0wZ!y);Qm|jgA@Rj-!W~-10ZOG3Nb)4D)JBPnGm?~%Pe+3 zmfJJ7Tkt~Bc^)H-DFCFJMrz$0&tPO9q>xY#S*hN?$^rQ$vWSC#fRGz#H!}Rnbs+oD zzXS8ib-G+HEYF>hEh44AOl5p~t}cD33f)KjdtSMYl^>8etPh=Sk7OOD|HGs|>TKl^ zLix8ve!#tJ_cB~ljL{JGuJVu(fp|tbN(OPG^o$I03|YZ}cdZx&*bdMkoo)cf2{apd zK-~slGZPK6D;YE^X*v)c3Er+y>l5vC24x%Fk|`LpJ(+&DRPamcy!A$Hx;P%VWKUKe z^xuEakYSL1Bf7Qde03xJVss{C2G44MU0r%?KtLAb`!=(0%R#?La2+g|bqayx4mFwm zzg_YW*#M1cL}bQkci3kOIRsZp7OpiJ>~U%@6KLJ_mvKmhSc)DUuj~+ua{KP}_5-+G8Cb0Tr$v8>3umZ< zortqXwsgq&5k%Y}@r9xQM7f48{Ry6;OWDL$#zjQ#muawmv~moK z8j-Ey2Nb&WVrK<6vJYVyv?~X#A)n|)@~8g{ZMj1C*@5Uvr2kBGAklwxCEG9W+@k0E zkP0ePpeZ4JWc_oCN-y4wCmD`hh6?`ajN5-?VTZ1`ckfqNNC3h>0q#SG2tsuN1<)*A zjqvxIzVwiH>Q71SbB3XJz|758he!q+YmOMtd^r^ga(wJ zW2~_7o&SuT8@AE4TFX@tbv+D89yk(1QJ}mA>RkiGg|~MsR5WpPqOQ0V7JvRe8)_w! z0#c_0{yzjul^ALs*axgDOza0m`?i?E8ddk6PZ_n;y__8!iruEb_(`~HE%G5sAR%NY5<5UxjejQH)p-?nuxtXoL%^cps1jML_ZL07+7yYXSh`w5_cUxNzW|P&kC4v?7 z_bm|}myK+1O7BgPW4-S1{5en9sVU$O289`2^ECaiADlc=<_Wn#AiT14JpYhShAIzq zzsvu6Nz-7~$R1OoNcC{fstd;rzpuKz*2w`1khdpkNpELGo-?}LaCM^GFbrFQb; zLvZn6vHN!yPnlsZV~FS;R<1Vy>DM?vv~*wWkI@Cg_hKWSUv6-Or-DA_XKb+kPPz_k zF-UXFuvO}8nMQC3EdYV{!+<<^&ozfVFof8ybRAN*f(%Jpi(eSB9~&1K$_Q4jJM`%W zdx*cPSn>*3DDel?&@Apnc@6bMx)%&mYa)gf3xn=8=hhpJhbHR`cyJ6N)rew2XF~de zNcpg0(eejfYL0)PSltmvA1c=WQLAAM>NgGQ0+=yK3-Pc9QQa`GC;vx-y4M5rod(Ha zmHv+o4Z9|=bOV>71;i9>=@Hk2V8B18SY3ydMQ#BZatLESxPYR6OT&5@@6drwcmp7C zL>uhg1lP!R@n#AHG}_S-sPgwa3V~`2ETAeiG$17hwPuT;U(<@c|6fU3-$aKr;iadb z8SJaguuQCzo1_o-7@rAYH3+WtW4h$G@TLM%<^d~p-)R^EaeusD2y{kIjcBy1AlK@) zUx*^~s!O_m;MqqkR9AEH%iww7L&V;6NByr5v5OA(BG2?g1^Rtqrz0~FfgCtT*9Ab* zzm*>GJHISQKa^zIF7yVYQHQR#Ll%{e`lU$|z55#$Us@k}@5)4eC`nz#7ZI#KD7#2~ zDr|QySfOaQmFI9k#eXvbeICZkr!>zAEU? z39n+$gAQTw?Q_C(SbTpdzE}p5^zBgpN^tjahp-U$d3V>a5dTn!<-SP{?9Jur_N4Du zA!ab{5Ef#%@OKyi|9J$=;4KRB3g6_qUxk>#xI;mRWqm9>?Bx`comRN&y8A1eSxR}h zNp)u*2%a7wy#T+Scwpa7%;4=D-LfmaiK`elJaxWY12&4CRg!dEapy65vbbvuPaoz% zq*+fXhu_-!j^Qf8p*TI)`R5y&dYS6$;+WBBC6LTxl*&V+i@~!p7ux-{}V-_DLS|raA}4KI@(4KzaW+UQ~o8_g-Ym_ zj{9vY;m7H?-b@OObp9dr-1YV`$}o`ZS?lPp{Nw8*TsCYI{`B?b5L)H`-9OcW49eF7 zFf>nnPPlw$df);A>M`vfmJsy9?)27L^hS=mxEwl{f~+{bM?sGDlMO(!)`*Zp>HyUg z{5cdQ*Ja4QdpBY~Ce9hkcAv8`!?OL;?SSdcID_(Lxh}kQKNM$n9rEvy?LQsg4%_5! zTf!qQ0I|t`zV@*bd%!~uX1S$Wc~3GKyv(tibwE6TC&9znhzwq5@!dJpZyiVkCr^SK z{00025SReK4_&T^tnAOk#LuLssb=PD`)=>(SZ?!@^#xT|!zVCvkDRTosWmD_%TkLw zV$YV1D;_W5p_rGPI%00Q;*PSN@S%;9S9r_jZW@u=UNbd(l-fevW%R+0*ONZo!`?Qm zcz@zVcE)?_f=VpL>xy#S$_p23-dbmz5sc8)RN`kE!HQ!-afUE4)Bm|}e5fS5u*=A9 zUqGiH5I{}VEgJpZ50J~?)-a>PXK^yRCesLT#qL8vzvTBrrQr1U&6p>ET!-#DNJq9=a-e2nc(b4=noWi8J&&^V#&6LWF- zrdxK zQ~Q}%BEL?J90AS8A=bdaM*D{9^z8u@2-d8KRofzyIT-d); zz-*En99^sz%Tzqri2(=C)OB(&FwF`8Pkaj-krRJF$>Zhn)2e z&^qR_qW0T%Bm}lLi)9t-6tm#f_PtZNkn>Kl-9~7cFe@}FL8SiOU9tQLC!Y#$^>LY2 z!ksskdKru%(@60vDD*t&hS8iyOBV?|*fm22NUZ0Wj|qXrR^(!nYo(2NbBpJ0@2u{f z(VL7H84X=fo6Fa|+4S&@2M={C7d)9ZWu}@Mby~gxF?sheoE@4*hdsGFGmLhQm(Dg; zSvp7GXXYGDQJ%&~fn>*9569o#u`c+$anpqt+>a|?JfE^X3aBJlnV8&P8L$S!QJC5Q&%XvS>&zFmi$ zbACrz6T7*sFeHggs8^i1IE>C%L8@d;MMZ7i9-%hBAbQV>)%U721)&u*6h$Y#ZaGt6 zE=1g2;jN%-xQr_6BQ~?y@h_I-JE#Gs1s#(c9a)aCYk3f9ZR6~+@#-jWaWG=LchS0X zTQ9e5Pv@KYMX*z!G*$x$*>tIm%wT7qpSJJ3wIH!6 zvgzwM4$EZ@v}e2yJ)`y*6!jVTP^QynihZ4A9Ftl2qFz`_6naK>(fU{Sk{#OAa-wQS zH_IvMtJ9uwH+aU!DSW4?&lsIsBpQ@Z5uX?N@Z8mVtZa~g;m)yU1{Hc2o^4h;b0th? zy@c!;XcVRq_N;dNQ-nr^g6H969Uq!x)TWzLCuU$fkv*aQs6H4pB#-&k{`T>YU!BS# zCPri#jf;8&$pUp z>Cp@9S$;gI)PnHrrSk+@?ko=Fo@$~8RvEo3cMsody#;y)r?+3;s(nMx19~)mI~ib8 z1JZ>&p*y(F6XYB!ls>!Fp@WW5aio>LT< z`Y}nFO zDyj5(e_@(|&f|57r`8!+H9#|H#}4kuK7pU=be;=@gmUvq<$(t{wI(-M`>Tc(-^^iMf1B7$o)cGZvQY14 z3%I1i7H(#6!#MuAK$vtcxCf@Xl*c`Gbr2MzXW z;xlpASIafm(qvAye$3$~c5a_uKV-ZZA!EFlr6)iS3drF_RxdG6H!7boiEt)i_9! z9vK}tmZ^T`qi2@zqELs79h$)9JyNqPeqCV@RW`@>Qnvrq$&cSQL>+E?zT_S2zFE*1 zxLg&m1SNXfxzO|77OKA^B$K~7^~NpLhkK;KI`5d`#-d+wa$oq&BiYh*OMSOfRD#2s z6~|F0yM9Bi@kyEJhXG3^=j@?GzYA&+Zzu_Sn4kS{zxd1KlKcSPr{YgOy%QW=Hos(l z-sDl#8RzF0oU9v7b*QYL)$Lp!sN&O2j;rTK$-)^8%Kjqp)MCPo$&;o=E(>-Xt)ExK=i^lj_SFO%jS(8v#xgR~52? z{?^?Xaz}!ROF#O0Bi8|z8kupA5<1q|OV~?4d$4rc?S=D4)NHSzx(k4=@qyZD(BB7) za$k`<(xVaxHmD-CvW?HUGAMy0I|(Q0XF(DB)gpy)Uq#rqxdW4D3Z7<9O0EtTd31fx*w4?F zubq;?d7%9$3nZ)`fVa8kq&XJ)dj;F0&u+m_6P&{_BWjjSR98x{(bwa!o3>K=SyW`) z0#zVrfv7w*kglWPSL>{7BY|01Ef{`V@$2a69wA?vo{$@^@h&yn(DLENOoM`b^Im>! zuGl$lhKg^_(hHQNcj*sp8ww3%^4i<#WNM#e?9_`A%{#b(&#J60#?m(8&~{ZT)s8P- z)kR4UEJ&7SX1cbv`y{Q>ZoZuvklFVBBF6O*x~28)$*iR_1M4qgg4@3wxJSCBqxpR8td?H9f62`+$7t00NLina-XGllc=Fy``S}UGi&Vy8#`>&7q;u?w7Yf0ch?x zU{`$JXaY15a)~*zWqn8dX6Zf8v(0ghO8SOTC72ZQ6sX&z0MEPQg0*y3GvwWODvX!!4~PHZua=oi5L) zaWRi_;a#$Vr>*Wo<&9fU&wCMH-Ht1NR5Pu0;sPZ#?d^P0HSuq=+ulcsn;yAY(b2w= z?AmCw>ZEN}RfG;-l5MD5K+`)Fd!v9!3Wj7Y&_ojl$`UmDqrGcbmg`=?#bo{k8LZMQ5={TmRGyU$2bIe?t%potT{WGI90q-xJhB%BOcwF%b&Jj@7CX* z;%yRsEHuG_FX=<^HQ!Gq=U0~d>G+hA#Lfe)%o@wxxb)q@WwoX0$twlCK0n_OkP#Eq zSZvu)VoeTfwFm-h*=V@0E~g{gcBG!HiSn_rg6Z-4Ujy4}Gv;AyA`PS?W~_W_;{WN* z^RLap9nHb};^bY5X0Pw@Qy3 zD}65a;u4)H;WbSQh$*wZ^rqZ$^!g%fn&AN}vKG#1lce_;MrI}S{9E-k$4R8Orzr!~} zL+s-!>o)IQNmlxfwohtg;-*(a0VKaU(4!=-g!uqV$%Q&(Kep7~Y^8Nb+PCC3p6dvNKblFSadC|R7l8QlS4RNU$^Xn$J^r>{%wS#n@7**NVvflaQmXte);7) z6w^yj>K$h_VcCw!j^A)W$s$=bJ|in>voB9Gxc#^CCcK*Y%%&BA4>M4D>82Gn5|e6{ z>76!_Fe*CO#B0)Fk?}f-{H9p3x@KX9-_fzsM1Fbhtad)@8TlrxvJM&j`Da^(;(m zUwC05zF_)PMR%LVig=btj7`zHjaGXPZd)``FHXOv1nYfte~pjQUZXU7Oa8LJk9W$Y z?4OWxf;iM`me~evo)Hjw!d<8pc#31MO?k&3%TXz+<($pyJ)tN(G@*31hG87hg&3hy zv@YVpWD|)rGf5Zku+|+mGsaGmtj|`nWWj5ko{g1Q@<9Zzl2>9N=oMR}5EodyY1>$t z%zFiUUtRx>;-F195j2Cdb}N<7n2P^ z>qs!c(UQuo0Fd3CsQYSKP~)8w{)O90+2t=B)19K5pJ2kvQFC_h%-}Egu|`GNlWGL5 z%KQ&BMLYtga_%b=FtM1^Z3eT1w6-2%7x8Yhes{2q`z)C6ttYc(EqA9WP~O;1Pflb{ zvKEWan4H9;84Q#uxw&m&#`PpI?xZKLqpOQAt|Zq@^Cm7z3R+QgoadM^WmKfg$)>R; zeu~`7RVocWX@wi!5mwnCUK^EXUYm3Hwy}4c{i;>jD+$R>xJ|$py|Bts1*>hf_=v4( z&BhZry!_KlzmID<5-25!;_|UuMAb^7jCkuml;0|LJKGr7w22f{mshjnOip{_$@1g5 z_YY;CwOksM?sWDTk0wXf=wlBfFl${ZR;5_*CpABK6Of6CFUmh!oNO6TDbluTTAK>T znN|3`w~n+L$Xm8T)Q|2CfE~|Ny%{}%Rmq6|G5KQ*TfE^+?}O6=j?PM?tT_b&} zaq)hu=LcOhYBwCFq`2|d=5(~%&YPWT;`ZzW-|`cs*Z8W7mS(6vn4%#lADberRvZn_ zW^H=dR9zSI>bh0UbI8GS8>{ZLvI{pJUV~{a&M$mu8*nF}&h^c_g|(px?wd_3lVzsd zo!4wrcWYDXU6otb9EZ!Ti#Lf8i;|1=YeHF)GAowxk80dR;DbQxB@io)*{6&Jv7!Kf z_&dcGmx*@X_mkKoA8E`Bdbex&i4P0j6ql>H2o*iz(LYuJu-J;3$obbj$c>Geh0r7l`#7~VH7GD6+~k)@Ry zFVV}cvY!9i^d#$iQC)GyJD0{Vm7?pgg3 ztv*>N7J18*M}^hxuy)rww=3%S-nC-+b{ibL%#t@09k+E6(cCa@MrNXR($`Bx4?Y*w zEuW^lX7u=`eI<#~zA@EF`${a^B3>bL8xQ7IK`lDUhy|b7>`C1AcCu~_+b);!!rrs& zZL)48UD!6yrD9xS`*C&w@3Fi5D>m^p0$I&TZuV;Q$4fL8xmcvnP6FV&JloG@&l}V6 z#jm&fJFCyG%b2XImT46WrC4x|fC410pOXrvb<#xiSL?vd_f;nNKYA;6^h=SgPL0CM zbktUj{kVm#Z*&a zV^qvE7JP%u!2@#oa_Z90CW>hPAm$?p*fnG{lk{TM9;oxDcypIz7UG$wOfNFqdoHBF z&IFyFapOR#;eJ{^s0IXnn%-2~9}<#O@l-4vWyB%CdEIJ^{etz;o9hU%M|pVbvv1uF zVFQs5SBRD=xUa>)8{gG8egU2~p4sqgiR%5i&SaL*Q^lr_8e|XY7xJzP^ItJyziQSL zWz`WiGH{rfi;HK0=o)7(^oMByckYoKXN97R&0;-e(`*9A-;%p?E~I(MG8V%S5YjVA z?gI07mkWgS7XDltn3%Z)>|Ox9x@v5VWm|jr#O25hapsZPM-3lr9sBtc>CVj$ApHF> zgWzNJs~b$h_`-<#0b$z6Kb|&y2P^6MeXtxKaMkaW>}+8vTLjC9MgqtqAsg< z*yM`MntCTl1oyRNQ`9BEO^1V785m2mS$Ca?6hLS4DAB-a`>j|$os9^Q@^&)VqfmkqwZEHo!Y0=V zAN1--`%$nQ^bvEe8Y-?`v|Oz!Au}$7Bi%`33%8jkGbTxjw?2=gEfdVTFu2{rfg4=< zDa2(Qmw624Pmgm^s^eCG_AG6Kzcvc{KNy8dn)v2%YU13scd2+Qt6i;js@rqlUU53W zGHz#5t0`~&^lGtt3+_gWVAPH|*sqC7^4a{d{nKcNd+ZUqR}VO|LIh=q9~j{FgS8tW z$((HP?D+GCH?tM@h=|OvdN|F#WtTT5xQfHGUTCMTEosG?^R83t48^POUEld(%P1YY z>k}5GuG+dK(y;Nb<&RNrIT7{ptSLFlZI`S4bY2u@J8paYc!#?( zdqr~47t5cO98Zc?tyPT-KK`Cdam3yaMf{_kvcx_LwcVJporOlmLGj1RG*O^#OepaQ zpBtK{oT-;-&fk_eLuhpQoFnC@C)u`!1j?@3M438Avni|nw*QlsdA9m__a7^xb}q;? zyFOph_IlG4iHGBJvdh1Gb#LD8)FEJLn5BMKxncVG#v<#zl?yJ1O?+eWDf@N{ap_Es zgwK@(evuOs=Rq@Z4hP7sQ89TChaWPY`AEiGwS0?|9Vzc5tJ`XY#UHPFTRhRF@%|@^ zuL;LeY|e~raCp|>RI_BAnSEx*f)p=vQ^CrXa6t_vb=>jUF5VUr;u11Zt20rHS9LU~ zSPHb1EjX^~vO;_8;;dEa4o*(DA_I1u8j}~X%Rt0vFOLRDAFo3PQJGlWPN136;-z5u zIXz-Qs&7Hm_>R_aK<)A?kMcY7pgQaD+cI8Pt*h2Slv`#=rHiB=xA+y3Za)K~1o=Io zw0JySv-`%aoilX!z_dku@f~NNQKQGXFnN=ET+n9Mlt;5#&bMG*os;!Gq26$DuT>DG z@l!IgepMoYRR@2UE^F)Wr{9tMSbzzU_GvU}e7nY6*O7O#zU!P2y}oL~Grj{MKq+)yj*Higiu{Mm^l{IJeh}{I#J8eA*>aujj=ry(U`v$_zzK zV%?kzh?(2Vam3QPP^%fE@70Hq@ZxwYD;`Lch#4gU_Ei5_`q)_%^$IiD~P3GAyKL4rBJ(8Q8 zW29a=g=71(ErJIuc+!(@ z0n#$+Q`|IYHJ>xL&v~xp2c_{o$|o8mj%B&^$*t7@O4rqi<%rI-@jdxY)#uc^pkm#Y zz%Se_Z_aFR05t%SWp}5|GA7QD77{!eR{GZYxkxieo@|ROyD=_;JTqW%yVonDGX?U8 zGQUPRy7JX+5I=dVjkjEA@7D8_l#b#ZD&KTYaoNTo)|npS*sm zN<0gGiJM@Id1OpfmS1M}K7p8u6uIgT;p0(xTpOqAerRpGe_}RXu4s-DKB=d- zMnf(Qm9##zDperH)%KHDRLF_vl`H2E`K2xf$3=6?eNpi=!eZc`$A@OF{hF~T(?ph;#ngc6FIxUN#`C{QTV-P1v0+bAaU zItRHuIbiJWij1p^L&7;Z1fONdCd>h{sHSD7HVdSeH)?K#em@=jzG~yW1UBFybH{&6 zjN^0Ig@egqABA+Tdu*URIPeE9x3?Q&m+_m2Q3?W#UzxwJ(;2gcmWUC6a;01XdQ=F? z$$Ugk)q>><`9hV#Y!?reuROW{puV|2-J3QG<41;VGF%J%w_uv{SgLET23gwZ1sAq( zfKU7m%txa6Bu4J|?9AVinTWsX5w&0!8~ptGsiy>{@r@LBSRFYInsWLQ1lC=-(b_li zL-srBy6X|vm@YqwyNnxSrT%KO0Q~e^#8}o)!^yhl=7JkM###$v?n4eV(}O{NNxld$zEQjPcz$b#u=* zmRKiO`n`&Ca=5&fEq;|J80$R*Q!N890H*SXqeJsVZrnWZ5-XB;?!cw&(u`BUtEP;Y z@kQvs^3R{k#*NII&#`1O+eq;}vnxDrNnw26J*ufPl#Kv1MS|W$(t{&g=XQMgc;<=w zML*eP{OeN3<&8mwK@Sr>xt97chIXDGWHHXy4D-YrZs2wmepaf^@jX@*GpTKvuCgRY zl9(F&SP8;cJgNJ> zAjc?d9WA&3T38m&SeqgPmaiBC&oM!maQ*EuBMg9B4ll{${GzZPvM`=!o<=TE5n{m; zHOEPP#+9D{lV^2KMdg_JyhGYs8`?cPzBb>AbK1AKET4O#cnNOT{NU_8E3Qvzc)np~ ze#+w1M+ZF@)kHzRzvA`B5N$}MD9VcCm7Z{EeJ+bqQUaUsqH(I zM^*>Nj}`n2BbmbDRt#bO4FBb2<2Xsj-J4L z8$<*SCloXRoW|uUfr_;4J3!%*fsdN)n$y+p@$Qim+oU6?zraNZO+5F6j`%; z`IJ_*gBvggB7z^yCf<_|{NlbLRC9u;I=>eSSH>xkc+()a7(WWg()dbj7d4E1_BA+D zC<+=;@0$NL9?P4z`*GhWZp2dH16o!`s6o$kPR$PAZh~>B9UHlKLul3d7tinF zAJ%`kID-X$?rnbEJ9PLL(W$q6^XE=gaE&!HHz%E(du+zyBZdiftg;{xZzlxgZkoA} zBP4fI1kvIhx>L?HiR9hAMM9%$=Y{M0-wJtYY~U`Naf+ymPfTotJk0o!dDUkOlv)g@ z=x*Q%963_FdiE2LpJJb`bnl6h^>KCmMmrJwG3IKJ zoB9lu7|29!q0;MXnX@y4E=Xp6URC|28A~lYS@u2xlk!farDmVg%Qc(pqJmCL6~DMt ziIRNV+mfHazwVT?q<$W3KR0i>8nRF}oSpMh2q!v%oimgZJi#SgJS+4dxC6GRn76ka ztTty0Mv5qFwcy)tks~YOPn)Hb@taSRaCmlRN@PXmiUt-uV%L_9;v!C-i#*b$$2|kTl!!av#llL?S?d10ORodelxzCQ6KToRVPR%NIzhx|ispU0C z){iUuFg<2tT9hzs>BaRfO|J#`2y$zdKEMN&5<)tgjS~{hc+4oFm0gu*%i%4XL;u{@!=8 zZnnXcnh~<$>Qdywykdn2K--JCm8R+WW-j`8bos**4Ntua@)Vx9M%61(0NQxU575T? zyABZA;1@yVEA7fQ3N{H4B))!~mrFD@u#MtkPl!HRsQdu2=R_;Ni?43r&KR8JKeSao zLdECZEOO=tZFNzuS0>>uvb@Zff%Oret`Z5j1E$b4s32G8<%75T!a;@FEf7c-KMMrm z+{NjJ&qmUW7dkwUv*4fr0DW9@!px%NBhcF;LyUM?aUqGPOh!VGi2s1jfxtT|alxe^ z@Lp>md@}9P%#lf_cCuSF?y2IgYQgNY6-`?W>VsfRa5PsF=)8#ftvhGKcIn3IxRgv%H2pC8uX|Fm9Icf7J`d9-Je%cKnA6{hITVjOJwL&f1=#__cY;093 ziF(_P`qbT2TXEx%S`9NO!p>jw2D%0DLyk;(@m%awd$2^+ zwUGro?90y^Zz?_Oj3$&>KN98PlhUxbv%?kCi{*q!-VNsg<%hhh?}7R~)P!lemkK_f z@`Wb+-14w@{G6Z=I)Q%{b~GrNeD*qQ^Cc43uJNF-RKaT1zK*!GxxV9-{mYlgYkz@* zmdvaOpE=|Mnj8r-tk$Q*)w2IxTCnkqD{z%ykfP(%U8PYD?+1>F9K>aEx_1q zvzb|bE)VwYQ$>J=7HhrX6^0&+gtc@-K?ZELU}5U#k$zslkzbI&%y>;mH%ds{zshRC z{I8V7r|d#0wWa3!0^Tb>cHHe&xOZwL@GAlU#d52g=&_-~ICXD?83=xSP%;iBvvQ`? z{DQU=l}8}bmdgAzqUFU7aeVrUTh{?ua2}8aoR6DZv2P72_)EZ7+!-5RDo;6u%G;*G zwmf>(G~KPn%OyK5HU}3TJ!P^eB4X!uX3R4F7@Y(sHkQckn36eW7w%qJW|XjcHA-AU z;>z4H>I+|m;BEqEx6a0{a1CxQs#;}5n4(N@#s*w%vCjRQZ*A5U9ougf6Jsfs*rYJ^ z+?P22{iO=x9kYOM8I^bU3hqpz;M2`=Ggt~=1n?S=2uT6xJSC{IoJ}b8n1)Kh6_?$* zASZVMDhjdqAg~BP2TAa)W3`$bsNKP-7~7zX*RwrPw_C9Nqr>BAGu`BUHm_+4xBa;M z{W|W8W5mmAgyi{I@K(b13-^P&Y+;Xn&Ls%el2iKa)Wo*AlI7P+OGZEykNk%~XolIe zKTjas4^^$YA}?^gX80Je#FqJ6+!X7AqGLqj`Q)d66&C~%PsEF+B%Z)m;*a}#L!=ohDxB%o_$V?=q9=Ll29U&>jd3k*Z0o)Y( z#I+v$oN}QD7TB!5ySb%M1Jr8gzxFk@POe*+9R6y1rrNkwRgwP9s+*ej#;#0Yx9-5N zsZ2UMS-1Lrlu0AFc=d{SbAM=%4awq%Sp4|bhdFGj0a0K8c+$toGa@u{c~KX{q>s%Q z&HP2QKK9Fk1ySemA`vVbR#8pRg^CAQKITuXRFfk&1DG87(xi*(PMdD-7(K~_63@n5 zBNqP6)EF*#>E>tbuOH)@lHs z*TH^q;CN%g*kCQ@ozE}G#}p=(=`@@yUh-nWvDEma__>1f3{=Av72or&oI90S1J@jA zBsSGhc!qu&C~&O-BBZ$lm%9QyweW@7={NLR1sgmw?l*k=QjqZSQozmoA6--r*WR*D z%)e|fGx{zl8HFg3iC)1nSMqHmPQKqKKGP;}KPB5InlcsZE3xhAWo^=99KXQG>i5?G zv+AN@b=7$UaF$~=^<_143czaOx!1nu^Z+z;feVJRATH{+|weC}1@%B6?MWe_~6(yD# zx9%3il!518;y(A{T^mroyX7i#A=FfpF9g-QVYF1w`91LFo3vceyQWC*)!n_D!?^dP z+-4r}7RcOUu_+@oa+lI(`pedB_gw`S1~i(;H66DQ_HR%*$;OXqr+LG1GF1< zMFWWxRykb)e7~le!={i57JSR~jnhq9Lo+XpNifvAP@Gvk7bqr1+YuES@&OFLW#>jF zfpA;e0EN?}4(&GVv?e^uI;}~X$J3Dw*FFPVdRo1x=Fo!I<97s+=O&kb0?%`%;p!x6 zS%JeQ5JVoiIa-l?0a~=x4>RVx{)LEF2{SI z9oU6OYbgp7*@ZRM>e^3M+p|G@Vv==+dqdgE3bu^Y!zPC7*N1dAFgzPQqHH2pna`@L zS0^`3h<#FrX<6~g8WeyZEVREazwbFV^M$#e*G=;?&ev^76&V*l#riGTdUcZR1rkrB ze@$t&Vo^>j>&U!pe-HU(?825Y1%c(0b>klLmrY>y=4%3lPx*umGuDSiyQ@u^iP{0I z&>1r&V0)I*gtUc+xAKt@)#m70xG%^Gi$1wn?4wROtxYLrl42}cBK+Nn(U$QyaGqME zj}2FG7~_dKLSq`{vQNw-H%=apdJYjj)Z%!(3HRm+=p`({hu&jDad6Twj>;B(JB84B zT2-c7IY)22DLFy>p|in6*3X6qk~5|1^o)}tw>ECuBjQ(R{wjY?;hooyr%1D+CU{Tt z+ca7fzlo`O99#3gya;u=C0qAYOv}w$E_Rkg_+{(S z(vBq#4ij5Gyq|eE?P$@pPkG8$nEknf_`OzDOSY_g+^Buo^btl9KjuLjWG|yvb5hpf zbRo}Rwy1D5z}jUKIdY!RhVVWA#JR<<-KntQ*}SSZe)9VZn_51LLyWgyfKaVZd2WqS zT27`L2wAFDJ$_wka;EK(!1Hw)jt4~#uqSA(l!?5qwN+!USYDhS?g`H2OK9e4!hCEL z|1p2IH~UUj3trVM6w#Uk;zNMYIQHgop~Uw)zu6c5=Bx%+2Ak`mGkC|evM)sn6RJA^ zsqOOc%+yV#GfDoNCuYuz_S`%+!S$L;9=UuGP%^!Qcs$^>r>v|H&R~nm$^*({Xd(9H zG---1ae{I@fCyWQ!?%4z8wyX82#pm8=L4R)`g#6Q&Qt3{-iNKPs7)E6d4MEP@7WA2 zWoEz+|YL^emCwLYR-e0@`m4;?^xz{R^pou3t4Ky9JpwpL&V)?HMf6g=cQ91<2i zOSV*le-9Y_a+1ViHQa96#nVP65ZbNow{qTd%RW8{PB*x>r-jznngj}7mj&I z2tY;O3R2eW!VkDVDDstkOm}d+rm4SvY7jrCG#Kr9VU#PNwP>p&GJVzzb&XDA5M$hF z3;?tUE7mzEKH~MnOE}MLX3Q867aaQhK=u6l7OOL%c7h@X+g5S1js_Ud+k&Hj9amPEiiue&qA9TxaoO!5Wh*_xB4HlJ9eacC=$EI%JuIJIUdTf*jI6G0z08 zOJSZjg-0wIS>0@;8h+k2ey?6qaXJq60lPQ7Up83kUwjJA+A~T zN}Z4R=(|i7TwN8t{6X=0%gov2ap(0X-HDZ`ZS&d5hdr4bu^Z%6zHnE|?a11m!oBxE z2ISpL93n%ug{GaB6x3P9PsATdcwI7YsewPrFv0Bp{h25WP)>2iN_F)HTn$iixi9WJ z{j0WcvY_^bnyKChD76aOV6{#TP9~meHkqs`HW~_%;he;S`5Et9cFl}98lwx<%#*WQ zw40uK8T-|yKTI%BmP+3EHFk|G2&YrQy2l+JeILi~0nGE%RcE32o|}hf)IN!cP|-hn zbuQC{I1xeXrVWaMlB+{-(YUi!x7r&nNQS@1vHi!|TZd(}t?i?df`F8Oh|(eXN_Tgs zbVzqfN~0((-J$r>CEZ{EN(fRS-QC@A#>BPu+57wUKIiDV7dTenUe?jJ5j8_km4@v=bV+6p(ccHn{1_$sW zyJC_Vn99^<7}2pTsZhtd;(6`{JU3hPHtMR8_DJ|@bSBN0XJUtjEC%cgr$-@{JW%?& zMT4~7AvB_;JR{vnN}ji3^v&M3n}OLJvv6m#|7F&Nmyb6wN9uSSUYm{Cb77DQzKw`a zd`BMb4(!`nx(6BONg4>@qi1!bla5BPL`x4|Yy8(tF`}&kbf=1P`rpK^5~1DI{}lcD zdcBXp#cusAbs4#Qm|TVVAuK^nEtT1ZGxmk{5@YKIqt~ep#gD0yDmBh%Qoj>pVosZT zjBh6xNGgIqforVvb7vs?op0lLxi8~#=6%N_KG|2Gx0>R~P-o{t9)Q|RC7#3rD2O%$ z1J3qBv0YuvsA|sEQB4QWEj7t8 z`Sq(Uw!qvx?gX-}3(*Hem9f2xd`~!!Z^$)lPjiOm~D9FoL>C{X+p8Q63PUEq)2^y?f}vCxiEF&RKQa2eX#wJ>MMM!A>T#5&`m zb8wJWaXFLevJ%gDnGxSlj)MbMGeCr0aR7OU0lw-1OJcw3n39$i=cqHKL>;KI9yD9| z`94`1bzRm92Q3!7PIOfY1|lkm{cI}t#0o`kSh)ICog#AqBKOfABdwnEwYEG#rxR7G14h$oK*7s%9-obE3gPWK%$4AH6#QGa{q`%6rPT#4Oq(CB_*yP@lFU*?Fu z)cdo&k%dGtT3xOqS(RpBFf#$; zH54`6Y+7(fMHN)e`Ji!f)&ZO_=zfk5pQ2fLHEdriSZLO9_UWHh~&P&^Q$7|;)CJr zSH^1^ol!ugT$l9uLqjps1(0q<^|onG{lSrHpUV+3Rnj}*Dt%Z=aG4f zF*+TFFpmHpnV?Kg^Db)aZV>J>eehFfT;h=c42OB@T~6|m12<+PqwwI*N}<7hP3Y1K~{05#~gu*Pz&t1zC?Ib zJU}oyA7LI{f)Y0++4mLZIFEG2KB#JlB5uut(-;>1y$;wE30-IzLjBFJb(sL7=^OYp zui-5?HehY4B6Z-0NG#CziM)^m1j|V5q+1j?Z$&Bx(>gso{OA#&zcVn=iOZ2$W1YSyaZM2vFa?rSd;zh(CTj|p^WN-PQ(pYG@bN4wUmZIuSCSbwrvz)v(#-4GR1@#K*ok&fHDSB4=k`T z*&AEaTLIZ0KpePV}C-85NBR>Ed)Dn-s41qHZ z5AMvD0-Hx#g*|W^RswJ-* z`h2L_t5K-_Kks^p;c(6&Pi=BzQxZ0T5GU~~v_Lq2yMm9@zI`IPf_g6U;mo1QPbdJx z4*an2YYoO$SlFG;?(R4)`!N|MrKsEbzEfn*ZMZJq9(l+B9v015u1=*zY(|EGczg=G zWf#SphmdfHL-V0#{q@zkIpAr;W@W()8eC)6OBS>jU$Og}HE8;$nAFcSj^i zPFUeD#r`UL|W8pJkw@(eBK~)X3LJ$6Fh5pq9V(f_~RG(XcY2-kQulL)< z2Df!+5>f$3Juh-q4D4;hTUWBf7jlveV*yh`fzM-WgIGdY=oCKTI;gk@rtdP=)&*6z zBTBhS84Qz+9>)!R8T?vNf=AjAxk*3tDw$YQC)ieeT;DZy0py~e~os!>>#i)G@ z3}A~!y*x`_;HEF9&^LJ{@)9yMDIC_ZU~EZO8dzmF$~Fp+1rVPl zPVji5QmKDPfQE)<4=hF(7!?~%<`x$#+_|&iwGwE5mV0)`g?U=T3lsv-B0xY_aA$zv z1yGMr$$V#Ef9;ZH`bf~7*rEZiil3O()i zU=o500^`^+O%wya(?x(b&~`L}Tamb>>1>e7bX|CXS@>eVG+6>* z=7~)C>?FDxb*9XS}O*EV52;E>sB+E;R% zrXjgIexR68V0)U|6@K;SX+RkPJsKe{I1mYaa(>^57=B?*E;gk4}K-h7Y_wcdb9w54qI9zup%x1%S0y?Dz6} zD{H8G6SV6E!r}E0i&1Zs)c?YncjpsQbKb`S#ky}1#8hBhA5E*6>X~NQm&z%BSMWeZ z`NwFbW%tkb;)|fMb*M={>hv2NLWO@ELf|RDbPo!hA47njku<@z`8!g70v_V5=RLrd zD3ZQdq0p&wdJm@FvVbkhVf(ANgH-0u6#%~o#K}Cu7QXm6;r#7Ug;hUgneXw|WOH+T zc2QKk7d#?2M?Oi88ZQR07&`&`bTvhz=4KbP{_QRRKii!Z6O^dP6qc$&p#qiV=M4Ye z#Z^$Q6(IsF8Gx6sq0{p@BKg(Kx3!PD$n!Y^cXt$Vuj-44+ylx-kszx88V+NDJM}q{ zU0ttlJgg=mji~r16>!{M-x1fwK?@7W>WB{0u@nFeel>1^{l`cJ*VzSXLoMc>v6T3h z(CMHP%+fouPLyf8xj^U;qCLokUxR;9r2sBEAZqr5E#@lp2)o(xl)jEA13Z`j5^T76r>Ch2G$+f}LeBQIDSpa`S^DWZQ z0xAX6lU`@f1&s^+zzh9-6Y^tp-!UVT{{)IX)C|aovUraf8RLS2f~dXI2C;5bTHt=* zIvUc+;3}hev_upC{LEt7z1#SmDK$7U|A2Gh0v8`S0iZ<{&pso1ZPhOqTLuN1HNR2< z6PJZoJ-yVSfo54l|D02={R*06bD9z1U5FMp>q%x+)7H)(PjJU^f-tA-YH?PD$eLw_ zo5a#l<$)JVtzUD%m8`O?|MN3tbYXg|APQQvkzH z-{~_-W{if02Au!iCOM8SSi|=TiEU~$Dp}6=9%+qu%5p}z9*_L^+UK5L2iF_kiEQl@ zNu>xbNehPYvbjLIBEGTv_2yX?p%NRZEv1V^dKhlbr+`u0+=!hC$gFDwviD^hB&>;~ zz@?2f64KQuKiUizuIUX+MckkDU4N(fa9GF{DIBMo^~WbA#>fIl)}5I2c3%O$Syr4!7RL%;!B5X>%5rqj57UubB3x&mGgAFV zN*jVsh*oWM>@1!wwIugA3q5^*Ml5)VzhqyW3X#>Imsp2hBJIyhu%uwGxITa8QwdIT zN(pv9jTGp!J6!6fasu}ep}(ZNU!TBxB--=WrivFhodV`oGc4D#Ct20kmIUm8*Vw28 zSW(*Q5KbCIKVs?oGFaX3OgVD)5Hi$oe~#)RreVTY)qdyJSM%cVfWcFI;K6W-k?g+I zP$l!z&&!F-ax_GFe{BBg$!8Q`=Ukh1plmcNa%n43oJlhBD*($BudcM)Lh#!>$)M_d zWJKlLV_7fIHTVfxq@Rz-?SR+xm{1UlzW*wg4HU=mxTr$6vh)I}uwBLen7qkeTsT|o zkj405u40j4%uv26)uf8mZ3I*B=ERIxfjM&I1|6y#EC%8uK7IP z@#pf{HD9`6B~+oz41R*Fl_i7hr;xGn{jbn(XwhcjV9)4je27Bo`y)o60%dGw%e|^R+1@2 zz`=$bP!*_bA(T=fJ8sp%Zf(Wt9C6RIxrGxQ)O0mJ$!{Wn_hFneZ4xRc;CpH+f2YplJZFnM&rcRyz!XgFJlwwE{mHGN||HofZ_5 z84%1LN;*E}2PDL1?(qzdDc)gY{H-$Ed};xwPmf&pcPb5Nh29}`bh!%Q|2896jLWvy zI}u>}Iw}#6z|U1cP^x`8aVIIWy3!dcvxZBi6kJY0p4+re5kZYP{ z==wG!vNm5gK~l4lE5eTkhkV@_F1u(UvL8q^!RoQq&*i(5HoTTAJk4utg*+$wEbvSE z#M4ILDX#Xa+&BOnG@(-1L8x|P6=fE#)fc{1vuTV^PU!$&Tg3 zf$Q_FvboD4$2;F^e!2-U^QQzn#;o(=I}R!*1(XQB(#_-w;5(w9n5>{ zx-~J%Gyk|tenf>e#?ejzvew=Ji3g>Q_K@Q8X7Vzpo@aJ6l1quL%L? zF%wh#;rht!C+bUiGXlj9SPDycoUucF%US-0Vd3HIMlupJ)Cfgb)NZ1-g$O3%ob*U6 zc%k=+3)!M;#vS-|nqO4M>=wLp#Ue1p|NSueX5mhe#$22ABex4SsNgsGPZaE~ehGNJ zqy#z-kZLI-roS1rB$uSp?1*WQ2b0u3Eo4&~u}?3MIyI1}adFv(HAis1OZaq&to?ld z@&bs6q$C^KD#e{z19ujWMmuJa{D~IHI_zGxZOW`nvQQkdc3`~hSr5RGzX}E?tQl1e zXe|Iqj)zF}OfV^oeNfV?aI}hXjo$h!vM0ZIGQaXGFl&>?IkXIe83Jo$Q{Dqlw+1E| z0bq^-@FF5|&0+UHL;U|z2FDX-ZIDtC`C6o+*_!?lvG) z4y{0_#&@&`pwl1isVG7Y5f(dRF+_zkFfJ8xLIdwT^qS<`seDAo@_CgcF-1G@9wCi- zH^57B`W?K65NK#lq^|?p7!d#&0-XIseYCUH2Z$*$1W5EeYYlEQQ2Y(Lxi#tlwmLjt z5X?Wbt=YUlo+lnD1cBIW@MZ)skwQn1{~~|a;~WffBD2LXpsG0yxvhVKvJT`tM{Ns$ zkPnY7SOl6O4}@Pp*jSaDx1LM56=(+hIE_3DG5`PuD!{|QQ@j21Qv<{}pqHri+<||T zN!1{|FC%OG0Utu)J@|748ifCGg|(zWSAdr7tY6M#9334Uwk|ek)MRPBaH(HR)KioD zVdfV~otyRs+74L>iZKQ#%yp_wv=E3q1c|`H#}oKnNNg)${|P4bbXaI$I@I4xU(mz* z1>P+1I}B-c<-^-VK;rHO>NPM>OucOa6)oF~11sLS5UPdGx5*UfIpa=gVsC5{q(ivD zR^gyQC;{c%7%7zRglYkxH>qGXg_|6aQL`A$Dz``JtJi&0H4Db*QF|yEseD1-QEH0@ z=TTIfykv_WT41;AjSq*lXzSKGY)?K@kc^M2a8*!e&kolIxmskAo`c;tQxn7s|C0%I zk65{3AsEpOKkQLPT7~)(KyE+`c zC~yA>F+yBU^z`&Gp!Fi}>|E}kTlF%a45V8=?bajD8eWUgR_t|)tbs;Mz9R;i=M2Iq zkV!E++8A}2@>-V767pRDN+OE?wB(h*kK(?rI?NJz>J_YDJ0?x}6t~lMOa{VTMDo?d zRhYNRv^TJ=?vEnVPv$gVUj6 zk^KyP0=IvG=HosuaSC9saFfl~Yv>S>@C~kX*Jtc&8o@ZW!hgLxYxEc9GIw{bre4``a zNk~Z88`d()iBIjkFu^}Rife>_-LjN(SMtj^y~)iZZf(z5|j7YQn(158%GJ zt0WJ9!H}%4E>1a@AQvyN+lD`-{`=}6Px$5uYN!cgbdCvRH8rC)+&?W=JYQzjBbL31 zIIpo+;=^9{w%~>s1jqkaqnfT8?(1to6B7jEs9t75^pM zsC!%T1F2XneUQ~(8k&g%OgUG6eC~ZWtUwM$GJu*Mp$08ONPuX6>4!XITaA(gd}jyUR`cg1$~OO~xkIm#nVPxELgYwfzyMZ)CDx5ql%mpxTq*jZMl ziSGfUA5aRCce`J7rd7%qm!6HdP2HDZ+8#zw3NOr{LyhHPcyOiw3d*d&2VCj4XN5j# zT-KD&8!bq3C7#SmFm%zVJza0&X%%@uHXz+FAp}T1_lc9+)j(uSyt6F*o8`Vt^|44- zXXh?U(|C=28rzqNbf(d|{t3s&Cip(9{hMaMD8vt`c2k4^Z)0MT80s%Di9b)QN26+Y56+y?;S5+fa>sR5sT#4b+!qco6%y2=C<8irg-@%nqxrA^uxY;$8TrJh+0gEXD{j=E%fky@ zUT#$Nc}<0V$alVH{{f1v!2Xm9cm^hj{j5>bXJ`9~fHpGC_k;V#y~6>v+l{4*Bzix$ z4<5&S@4y;(v64k&^HB2I0f`8cdU+}{LjFEdCy#6phRg^K8cQ}a`>8qkCg4%+L8@_} zH@f z8A)*M|90|mNtE!Up0mdJ|8_&2s3AtE1LfaH8P#(YVx%I6;=Wx7Dl8?*B%NgV*q7Roldf?`RINC%^17)po2z=hAZ zTVYhvQSlCw4ff`g5H5v2$AYa@0xx`N_s5(09N=7K9sDMXs$KVotu4RacBRC8>44Gt zF7!4(*%@Fb={fi0iU*A3+@9R#y%Gd!;q{S`XaVweGlE=N<|j*i%H*N3$G z^3>B-q}P`#T&H$ZG(E=CYI%L z`Ycj$>`TiOV@e(@*-5?kv;L>DiMCP0i8;A}b31_xq(pXo>I+OMM&ESbb9R=n!uhLQ znKuY z;KuMNt8!nnEjh0FPxI$iYh0XFYUSv7oeoQ>ba$>b)&_FIeRkW4vZw599pRUt<((5hwKHE~_S=~r%UE)GV?)5Y($uJa@n{wD zpl0TaGJm_!`0=XMV;x=>;6~>kD9-Xbqif2Ypmg)EjQ$)^LXutm1z2P6x@lbZfsWai z@9CZf59q@kML`3ktJXS>maWz{@!Q8SqtP>e8@8h>!|9~ZGuqx(UmSlEWM;cfUXmA^2O@dS5QoSjRFZ)H{Gc27gjmm=cG9I`D?fuWoos zT2;V%vpR{kpn(-fakT88T`L|gd1y^( z#tLoV_cfLk+p@r+VjXs!CeQNW!=%oc1O&@9?&~HNb{*o?tZ`1?52vLDhqhRCI-Cm0 zd=H7YwcPkPr+7^TEql`ZnuoKvFSE}^^sbeE%sxov|BUB#oS&#xZWE`Ip*|r)nUFAW zG$ao{{Uj_CUNcU7E(D)5M^Oth8JzF{A)|$=+EOGA3#V0+Typp^43?C@s3o`ltHc-o zJ5)vJm}0|ElN=i81Uh)agc20FjWXOzGxBM&-ze#wtg2MhVx5iIlc(4OBwvL+Y2H$N z+vn;F{sHD1@-9F00%^HK36CxqX#ib8>C*b82dcHTIWCYDH+kOCqpa$&vi*u4MGW7FK=fse z-YA|J%I1P@4dXmJxEU@-mh5=kWh5*pjIE*iCOgCvb~T9#qGFSgImCm_CHOvbK}$mJ zM=S%Vsj-kqq7v`oJ>G+#;(|!4ha*Nw@~~zNz`shu^M&dFZH~8&gi%R%z0+y>38bsP z{rsVo_b2VeY+$|!q9xt%SqTrkXV;#>nzriZ_`=5`-^%gcU%sP2lf0Vm%GI%5b@MCq z3`Z?}uV`GBG2wsBccB3lAY7`5OINEcf?*=QTcf&zLn(yhD{VQm*%tb}Su?Ig3Q7W~ zojzjuIS9oC9HQ>M#coKCQ%zr=Nz1@30cfezb|m+ojsa0mP!Jl9zr4#QGc@@5uE35+ znLQ<|v}+g6?Jxn14dueOY5; z()vf11%&?^|Lb=;oRJ0DV4?)+VDx(KS`IPtr@ZS2vmCTh8fd_tE8>W-3% zs;V*2_;~=Rox<0si2Y<0HI(zph^Ckx9XEgMZ9Ow5cLPKuTIEb8{>>`&>c9hF3ea?p zHJU4Kq~zsVf*cvJg2ucWwa(YrR>HQE+vPLM+^Urw;zpR%l?-gjRtja_gYy_tIXk^` zP}N7GS1+IW5H@}wEbkH~U&~+x#f;!%-|vY%5uDMQOebPX7h67~OCA~KeezPC!nR}$ zM4;J4eaLf+OC{y$J&Sq&$*1#upj)~@%n#HSUs>)I>(wg7uU3Lg^o73`qgIsgmFKoc z*N!S|3gj!QcZDx@)@C7T)OYXRNhMcf!B@x;07;%$ zhM^Q_K++YwkbiBYhCke2&}5g>wBy9s$!Nupakoj=eZtl?QWG4KE;v|^L4gn3Ke(q4 z{Ccy5jYxq8DeqR@yqX+c+=bemCQYc>I>C6eEX3!6gcldIHorWn{Id5dCzHEbGztcQFTH`W7e0ff`;7`iyCF;2Zeu}WM30~eS5Il zSb4^V+bR9P)hqGB(KW-9UtG~cg&)9ZtP`k#Zj?}}ealz)-G);;~;;J7~7Sex(bKBQb-Sa7786?gzdM!ToHw>OEOuKnVgOlDwYGzSfb zBh9{iz1_bhL2KAbUGF?0_T!sht2sT`3}0v^o1)d>^@>f?qHJ_)t{9U6HM?3llR?7} zJ6aO6-jZPOCTN=+En3^9Eai3c8uCvH*a(!^-^Bgx*&=?A77_PJNBzSoS-yFYc|3Zn zM|*v@RbfE+dIGFf{1pScBkJJ#)WJ!Q`cUZ~{FZ5v^D2CN;Y}k;jLg#nGugx{VrS9n z7&GXgHBRY$xnI(cI*@v;N8Pu{t42wXKziQP(XLiyk1;wwfN!3s?j712Y-8{`ip_S2 zJNe8`3sl|ITTrnc zPy?aUJR+@weBc{ULmTWB1$A4hs)lZkR#D>68nK&RBHxgqlFc-YxgX67>aVbV-Ul|@ zC1Nk&krIVn%@(}%?I1ze-&BePBFNu?Ou*W5d`nbk&3}SD()dA)4;+0@LB|Xw>y4x5$@#(?2Nn5_n}xo| z`Gg?Y4SLyW8v0eo44ItVw;pxycD&Y8#|EM%3C?t-s95l~WiK>ZT8?!(S-!^s_|>*$ z)G_`Dh>>0}3}snt}Imr6Jz$b@k-TR~}bNT?!meJa5)ZP35$u?GrjLp76iE z%sj;hg10-S@xGsaBBuN%OPxk8cd4VhduG*WdD(=^xhwG$ zopeQo3pJznzVhL<4sVzJo?oJtS@%qTx4HPJ)coE@3i1)<3%j3>6YfJLPQqDvb=l2{ zu32-CnnhxTkXEXq6P1bgHf&btcsN`CqEXf2upZ&~-luZM0*#G_{}i`S$4 z*gTA3#hY23J<%VKSp(3KT~#rewu-tRh-xUT_@SCTmC!;^RrOF+`7EJbnVtNEIr&MW zg)FuGON89=i`QZCWrE7j?zLUgDnmo(?g++~-dZNFS=t)9d z_Av5K$!`B7+wvT?LnweJ8}fflez3?HkwJf~*6AQ<{WdM@{e?w|0xP=vW7=p{k-`&u z_NQ7OzpKk~x(OFC7Owd(x1!_9_-GD4vA?u@dU(panOl~qzTfOoK#-sf2(88EIwJLv zcS0p|$6ELM#Lf=3YgJb(5)K_IJ%_A6i4LtdydP>BXURmS&g5+i!p4wkqIbK#x+Fo9 zY5LYrlcY~+L9_|N+#y%7QEO%*%!uVxTH87h?Z}H$7D@r|sHQXFJp5?SQfkC+)}LCJ3RHl3;LciN&>@XidjNp5UlQ7pK9XXny*Ffysv6;sd-T zmQ<~r;jFKRMdN9?4z=ZM$OyH^svB$d^d;q({oAQh6!?j?Ev)$<7AK5{<)I}|82fGd zHWX_>I_e?)qE>@M1-pm9(`<^$Vlrz>@1qLF*D<#7#hf{-+Gi?hExcj@$1 zvmgND3%yiPy;kL7HEk4&`;rtbY_Hlh!{l3YF#onLymh(87j>X3XIfQOw!BMEL`Nqp z>m$kL`NlC$bUZF6V6Z2BEP3%9q1pe7aDmHnVge3F1^c^eO3k$CR2ENmY4~>(+cF>j z+F>J^dJ|%~&!qiPM$#TM38bUm+A7)+9+75zDv|Z{aQvkK$a{BWqoJy9K6BB9X9xP#L0yEjB zh03(maj$uL!lFW=sH5N83=DQduQfIUL1*t$mWfrNiDwI-vdDb_U_NsKJvEDN9-poI ztp6D{{B7wyR{49N!(ppj8BGtff!P$A5WsFsKu95Uf<4=o$5XC><|Kemz|44^U)bqia$_56E3z-ovkG_Xvy4U3J|%Fn3hk*xRDH8$(~<5M*n^78N8_G28Z>cw9BOC}bBP$T20)ujJN zHvxw*tcGqW;gBlRVRbpqdUF~=cC=P;*{hZ9<%Q_XCQk|NKV(L+wShDWcA9b0j?wtI@rZ-5%*ce9;!G2 zlwi0{#_lxGE?Ft|io}3n3nJpwaXWQf`mpnM0$~ zULS0j?M@kNj~&PMAMJS4S*%~LmM(MgM)*Rsr|NBAqKA3@7X^p0_i<~J9V4=jXmcV{ zTPrr~XAlu&xl(18i4f3a{9>x#>FbD+qiJc}k?|XKWD}+n>OPz0m>dWOTj)?=$uPPT z;Qr<3+bYHu|1iGIUrzNlO_>Uac#ajV0K*yvZ%P`s&dZQ$+-fMg|8S@MWzq?Kl;NgQ z^|x^VoKy-YWX3!HX5I)!Rf<6Bx%~bN?NuhTQDNU`BKW|7%D1RTl9xUeG)5O5gb`ky zYd}DM?e>bp+RudB$I>qbL}A8yM~enkwr_Fiu@3OKTP!X6GMQ0NcGtmBmWbU#=gC|+ z_RD9+iMJ0siKab#9x%dMw?P8SBO1~U2Xgyz8yWYBawn>00XFz2IH-@z-Kd;AXP3X% zVTN9YI2L}@fZ(4d2)jV6o4_P?up7&$l*)h!*0{me-%IMXfZK1Rsi6^4Ewc+~Yan{$Kq9)Xmvvt1(qeL)SByB(2dYW-x0ME)og7(Ji&Go6yy-Xl&kIrIr@-;0#2+ou z^CP6Ym&h?1dc^lkV6*O<5s6tGK{OA3-_~s8`7}VMqOwO5vgpfzE?!MC%@f;SOwMx@ z*gW&+b-Q?d(qz6G8`~X}_xj9c@UigAG?1uBU+t_WO#AFdCRcxQ+u8P^xk^)R@d2}g z_Gd|!(#-&sIp;yju`lEbq?MT$mzKhGUit)xEca%#+hGcEywfT(Jb*qP5$JqjqL<2H zEd~@R8j|Z-J$Ih%;$rCN_W|s1JH4_%v<0mIV|XwJVaA-Fg=s7c*+^U{5n07d8`%We z>UJzS3cRq3`Ct!;`ElzzVmJP0h?`^k*~P~>J(s=KYUnZ(xjHIy;@qA)K0rn(#QR-- ze!ghAPs8JBGLUD#uX{6K;FATteD>u2tp%W^PWZ;p%;wlK-T5^Rp6AjQ^i_`s{O)!y z&tV2B4#-jO#+!|H6+IrnzJ(q43V;%9;7ImiH(0X7j<;m6qjfW9%Z>=*1JGO>?%SEW zid9AsT1qwz32t+}R5W4I(OziDfH?^Jq;6lF?puR0UuoQLAR(<}+I8SMXWcZ;{(9U0 zI<11)@`aRHBhgC-|Iv--XI_e@nyDVz&%2l(!jqLzV&ihh%B<&uy-387`z8BV)we6HK_zc20-Eo4WSCAqzJny;8qU^=K>h@9AvA}eEriB+ zp~wR}H+jGG5?hG;DndEcZ1xSxL&6kKP31k8_=P}RN0q+r0rzQN4+>;+yy)N9gpPGA zqypdkEd$>qt?txL0c`wxaK7a+Lr#Q++5&D5FSdBg7UD_GPaj#JWTH2^B*=_fDGzbYVvmYf|8qF0%H zj?7l>X0%f9-V`o1l-a|p)j-4>`DP#6jzAcBb-C?7h;aMi$43t{z1p(vb6-+G-!>|z z4!wP@Pc*&FM81ppNntb7lGORd5%cL)w?=LxVl3EnI`VRDcCS4%X9l~5mf)ng@}CEz zmRX@Ga{ia=M!_L%V*Wm%xre2ADHq?>H0C`mww=% za`szdoV~hHp)bVltr6_lv8LkXnNPcToK-v0h^mr9CJ$%r{HT`Nn?Y$+2jdU%+qj~m z1&vb)ZxwU1woWYtla$2Ez{c5G-NuP_t-U{#q;LyS?bY}zu-AZ=ggB7Mtk-tWc?uSk zv=0=E1U1yvskr)=?*8sJ2Ts_p^K6!Ol963ky}f4o6>q(lz|%TSnKA3_{-K&lC6g4C z(jnxoZp}ekY>#a1VqNO*Fgv+Z6h*7P%d+=J27P#6lqg#le7R0&LR!nOK=6}IGJH{x z>=s>j8?W_XzZlW*S341g1fU!+F@tZ%Owd8Ctrbvt5oYby4l{}5fSfv@bFose>0adE zzIB`fGQ4)`g?xxG6Y_5R@sn_QeXi+lrWd=@u}QP-DAY%3!FlcBMB$>`*aMzq98-fY zQQ!+X0s{Q&0042Xo12YQHcHK;MWM-4HbmXu&NC2etp&>k74N*KQp3>qdme>*01 zlmW=gR?Xf z>!-bLZtQnn9bdu-7~A}wTnzNU=nP8-Q5SwjBN)nsSWHb!Alftz-fD2{2zT|lPCh|> zOh>mWylb!XWjb~+#D6)=hteRGO)Wt$i|%R5nTt!PiJe{`j+4!GQ31hoJioGQy&v0I zc}iNxURcXz%yhOXO}?AEdr<;EHD??LF3Ops`Em z8pfnOJgfBO2;>S`&YTd`#h5%1b8F!?qIQ^oEE=G6VfbAH0;6FTe$NX`qH zmZj35(cL7}i1MWwZIg#agHMX*b?jtD}vn@NH_(dpMuhhdh1_Ui#aK zDq^@G?zdF9u(#VV)HcHSU3r7=5)bXP#B;I%TdG|3OeEhH{mT9nFnJ0$!+cH`lU6~$ zk4Q|V!FB*HD;8iqZt*;{^uh#EsC^w=nuO~=fIik#Ex7@^vEcvq3|7~{^J zS08^|qQbuY7^>YNm6(9hbVSa7L&VEs=@vT!Uz2KM({EurG2x!|}{(=Eh;C&g1 zO+I5DwIQDC$7^uzJaBD37ybKgW%Z&fa4pB#D;{awdG&A1Ry-;R=JxhuCts+I9*2hv zXC$9wHFUuI!i2-JnnvsEPAo5u?Xtp;<6d*CJE*c&3+$B*?KeoTGz-u zTqq?vEfNx{?u(=Lw+tGB?4F{DC-?`FfP96RNCmZuUotTYLa0%%rv*x%kj->U^Y5?0 zt+MmPvQ1Od|F`2Ycu6c%A8c~RZ4MJ&QNcWiaMk!u?VO+Hy5w`KZA~|oL`Hsm_(2&Lux?Z)|Q>4#@N=N0|_qZn6oG`_7`(17+g%G;G!^S2Q_@w21b#Xvjcm0X$V?(yD zMAr*}MG*mQt6l||ecE$_A3Qrzwnsh_jXQ$||HyV|yc{T4qqQYofd8zu?dU{QHVKkI z2=!eG#K4eY@;Tg|ZZ#B{8&z$3&jTBt*O3)?GXGhN3nBD4P&NsM4Hy+aauS z{t8oaSd@WpJ~81wTTApBs&MZ9bm9AaX-h+uG)qTEf^(Rl%C--~dbwFz{ak6%080R5 zE=*f{c6X*^(%O{_yV@!xfQCUIYRf(mkRsUY=<(UpEeyA`-%&_B@oi_9my?rg!<5K$ zd(22qliRJa1XMu{&}C?=&bi6P1&x=Ak56*-z7W1u&us}lr`z)PLU){NM;tJ^+9N8b zBfMVF5u;0Y3c?{7Jmg+Y{J2Zx-Xst;PF!2t79K1sq!V{M6TA5h@7$X1(unqMCwsKn zctxBN<{vY2Rh|+#k#IX%yRsiPKGC&ZbncO3wU_N+Sf459 z!7A=%{)=v@80IoKdb^EH{-BO&!D1+p+WOs$eAoFGbjf0I?w>#PYE-{Ja=KNX;;zV9 zEqrKV<2z<$)7ZULdgg54^aM$k$a*L)>J~B_triQaFC+>r$agWgTb~K;_prMHavl!s z?X2yz?=ivjj90zF(sCP2M>stf-<#am)qIm%!0n5w5Bug5B?6Bx`m~#Grv)UMu+<`y zS5?IMx309xvDM}+404V|=5%fWM?uj2;6zVH2Q+(@cWTF)nu08aFu@0X&`Q}5@unTY zccQN82KIA*HId0OHw+j9aGtUm0%nyQGgGbK^Q& z`%rEoWunhB_Te~TDBoFH**gr57k!dxz8l9awX|+@eU_vx)rNF=7HIaU(0RTCog)7B zhQNBF<#@UF10rs(TfIj4I}ub}5PI?h1zgMq=D+&~dbeX}>r!58bqtWP1J0^w$#%=JMME zM$+LqcE$%qJCiBRK|6B|#`NGGl(M1%)yK z5X!P9KFfP~@?v4gTnw~#>v;CvN-^zVKLr&$9c$d-S8KlXFH6*SWUWnEUo3vE(a$^9D@CaK>8`Fg1@!-3d{aqL4DUX*Zj|t8+kC(-pErKI&%{XH zzP{Kj<@wbfibH+BX4J<#QBnJ%=LREx3mbm7eVg=S&*cs8bY8N+kd|7~ zTb<_RYslmslq*gvoMoyqlC-<47VR!&=a!8(JcL(AWO{h!@PG4VJm*|Y;P`PuI{PZ= zhqdsjx@p)`yAMa=Ebr@_;Mrs&?Z}9+ECcy;k3f3>*MX!WNCYQD16}5V`9UAY%)HI1 zo=(fj0kP09t>3E>y=&;?(m^Lz@9flUtp41~WPf>qF#=|zl-v!!G})r5dR}WhRO|-Z zyzs0+fF;bvwR@6(rbF3%r}**J-&lZm0o-XF)q)7aO-}xBM{`jOnec1x6z$jD>`_0< z3O2D6td2v<#*)W0Qqeb+Im-yJ^_4f3FZmZat)s3O0zZYlp&hvUEsM_L;pp$T;v#Ck zdG7@Bkjcq;X$Z3qpyy{P!i&DBngs2ki$u^KYIxF;&uhHJSu%a$$*w*nkdRcngU4ma z_PH{d&wax0=f-f-lLf6G-P6NL>6V8gJlS^m>&;2-G8TfY zqu!8C+D)6zwSytTO%m$TY1RAym`uc2JC2xM7$Q#e1*NTMJ@hK2o&?dJl`{ZsY-IN! zeQU0-S)X?Q`CL*l8N=XBt475`cV|#h-_bV&hD_be+#4~b;CP%nZYgzdvzpRo7is}9 z52CW*M4NpGb@jsq83I5ibtMoW2RXGD1>CDm;l8)1F7I-hf7lSNtUnh?k*AO{*9kC> zyv_>0uoG78JVp48^ZA)iR%uIXg+TW@c8bta%09Q&dvKGTD(pxXxB5ithtX&vm~o@Y zRP;;mwSw=`?1dMjEOy;N6s^k!!CIXkbY!TN4ChUajJy^JoW};Tt}iT|Bg&JY7T!hd zKeg~M{2D^nOR#r?1K_poDXM?gsV5}6S@Wiwsa0eCAJ!=!l(I*FN03=sH+N?YD3gVd zVFK#$ZBP?`tJH(mEd9T+W|BDp2Y_JPpUi5S4@Rx=fJ=@H&lL22;SRk@`agFg|Np!S z7%)lzc=$U&wNT%lYK8#W+43y)uTbwklmTFY{RIHbP3NIqf79j4CGale$xsI2g)9Du z9@!iQFtBj;4_u&&xO$X=E9qSlqU-Zvsm!jsDi8yiden+C!w8&}Q^{XJF6JnKK zRd2l^AbZyn^`#>9b)K1Wpl!?B(O*)H2*5Nd<%Rr?-Kj>OqQ z=9T%=H63f>#)q=f`;fQyvFExXR*h?&bh!L+^k0PD4dnFtE$D`HE>=LuE$7 zyWYme?~{EG00zMOzq>`b%2`TUhF&x!291ee5F!bLz;nRV`zMe`SOIOSo&T;mmv1(i zm4FXVn&5AO50}Pr+5Hv~e~tMbe6aKX;kByuL>K_|a{QT?1__xQzjBZdf}C^+h6fd1F8nww??KBBY>sSc&i&_!9O<*@#`sOkUdMS%lx^Pa3Q$EXG}6inFj#6nHj^LAqIn_3(iG)K7i9>}f9KEjZB{wOX0r6GqInI2f`5 zCv`wyi4~)h!fYbxZlF%0z@Jd{qyOKBDi9il)+#ndZbm(4lrv4VJI{N2avwrLFJY6@ z`AD@%zemhP>Hcxfa|^D;zg(W)e{gyKaY4bmn|mv!``&{QRYs@dclfTRrzrAfL-Rz& zal-#FNg*d+{lGGQS0lG_7NG=&*lUX@yxe2g6?h^hqBd;kb)*Wg=Jed$TK78b8Nnwu zE)3Pw#7Ma8RGvOv>Hh3XyoCeizfcAMu`>Q$1|T9bAZ<|dC||+yE0V4ea{36eUvJ82 zJQ5Tm3!9^e*GlpbJ$kcD$+U@h%=X}ojp5OQP$M2v0+I!=^?`vJ_ zT5DZvz29pE1A*fd48o;A3QWKTRRLQbvq@>{g{~2MB2giu+B?A}8E89HNOc~IZB=8K z+u7@PFN%8uZSinpSyP_6fGfcM$D4N5}QP0{J+(nt=0g-i8 zc07c^er>iJ80=H-G4G>Nn2a)0N~Lf8Wk^4=5!pR${<%V*SaiN`Iw{fA442426$dD; zd6KQ}WwZC~9|NywYZV^3YofipkixU>E421pCqnWJO~B}8TDIJ8jr!JUBRV}jZ9Ov! z3|U>*7eA8C!ki|4%w0J9;bo@?pdGw*G7fMuNtqwPmJ?SJOYHB%y)AKD>->p{quoba*m>TZM5tq>T7w^yaXqo;eM3zsAlZAj z5l5OT#M??P6ohlvD@MeSwu$$`Q)&`o#h?FhJ8s{4M#!n}IDSz7o*?+}XK|P= z*5{Jl$;F}(PDG2S(wmy4#t*+g0IGE5i8Kd>*Y^^OOuM;8x~!+ri?trD<1j(_Zi6)Z zQ=GaY__P)AX%i8|Me-};@*U+eZ-56Au@jsuxrLunq@BG!^5iCdDkh8SS-w}`DTwo= z?9nV$L3V5_frKQ~jFVZGFK)Ef(t691Y6RVCxK0R>MeG5lBK|Vys?lBi5{7;M&>9M+ zO|T(i>f3uDs*702Gv2rdGvTW{e6T1iYvt6@cequSCoA_(;;wOWuRUKJP{yskc^@{c z|6C~#0v9&Qgs5+g`k6<;Hh#S93U69}Bf6SQas-i7A<1dvk->hrj6*b^Qql=7WAECE z!&PQ+2=#A-L;NaK_sx{p8=s_R1nh5O{^|JS;j|mfi%hW-(OlTp zDk)#ks0wMXGI=VL1){muH*%5iO{WD|vWsP%2T=<_Q_-eohKQ`q|BbBI?r6a%f!&!; zEu4XMW|DMa2i04h{`q8zpi^9e0glLo@nbF(!I2f@{z4kaChPz*ErhI@7%6--pu`Jq zzy}is1@tS(k!p;78i<#3+)(e`Npij!a8;8z*1Py@+#v-U?Fvy4+*g&EPgbNFvA4Ny zI%8h$tZLoeR06;l=#CMoCcI;=Za)Z(BdMIryqF^mH>A213N06S0Z#TwmuXe~nl8?Q*oxDl_wL>Mk#XsJbbc9yx?3J?eB;s_(ai z2=*m34H9};rb`79DhSK}b`J*Tqu^}W2Q19x#fvGnYUa;)DrP3w5Nzf;ng&ByN`UmlxON-n)@u2zwbl0s#vIC zG870F$(_P03I`t}`4JXQ zutm6pHmJDTq}2z+yF+;<6YpV3i!}p@6cX`Hk<3m%l5poWZfwon|7yo8>CnULoCRv} zSWWow`M(5+y-FvHmWbzOUOv94g1a_G-L+I}$8zd@Tia>Jky=TpR6cvT1&D)4bB)l@ zQ03GR*^{RTc7uFT5lfoc&c}iGcyyAqQU7G}{@M!MpkA|iiIRqceiPbMHQl@jq|<}@ z>%915@J{>hbynUQ*dph`nsch~QNyOi{ob^xI%wVG0D&I($(;$Yr${fc+SNAUo8n}i z`z!r|Hb7iKBg`A-enSfAH46O)dXwOLCE2w_AqHse4ss5nf6Kq`3S_zTpZ(rJRc>*O z9w1)x9w!Ck)9NpWSe>lJ%)Y+9-U?f-iPV)RDRw-Pj=e>Dj8fU505vHc66s~(M$ghr z5%H3$zh_m%@Y>ZmouNXtzA1Wj`5^|HTwXcKGdK2Y@A_^uZ?>AKaFM;_M^)R3fTA6SysY{EaWvq{8ORG%Q#7&thPjYcPYqYK#`B;}>hL_i45)<>Ox zY#ZM`eKFiQQIJMVG(c6NZW=V()hWxH7}ZMlFwCL*_ijS>fGBefzm?W2e%$Avt5a%H z)05kfm|=lM_E2a5SAv%NNTAQVMmjO$p(~GH)P0UMvV^liBaJCoZaHvBh@X}v0YOvb z_^n1v^!#8E;MsgjezF2Nf=chJ`bkl(>!c;+oNzv3_s@r{fNOe(FBcOdBgUD0o`wvy zMlwfK@X~*~2Ovc><8N)xNn7A1+-O8y!EyRb-WsOkZ}6psR;h1pfR!qxxyZ{7y(w># zx|;}aVQp0trBKAtivvViPl}2(GT(%K=nsxPT!R`s!72Leno}5_@kQ$WRR}Y-z3`}fuvK52hHH*K zG=CCirqM`*79!16(o?3hEr9q^aQGVLfU@`yJOO9b zFq>`BC6fVglLw}c`I!@7OUYqNjr2|(q>az(C&pq`#b%ZdV5)csP-HQU#K>oaC;XCv zliqoNP;Fx&`27Izgtj1P3lHTzkIfARi^9aHPmC#;eG1_~ca)4g%plXSCp4i48QOkI zWP?+nU_f~QY@n~!SsXpBup7eLefP;m*a32G>%$% zbc)Vqg2v?nd7Xhm6D~wVpdCVo^C;ncR|w&|S=_y06monQaVakx*tRl3X;x6Ig1-a~ zh=867+xGug->XC%Es*6w{{m+8HHjtG?C^8}Q{>e%=xDcR9Gt+T+7P#gH6R#wI*UFL zdSp0;@IS;Z)t%fJatg%&+K3`)h@a=eKBEcYBvi{jC=s~l_b%FvlX)(aHm*RQ>n;YR zv;~blZ}Xx-!9wNUg4g7@;7x)yfdACIsFH^#vm*Wv4?o_5r}O<@6Gw6_7#EM(nWYI{ zBk|0DJ`V{p<;$<>0d%IP3Ih=ig7lM#MDk`-aFO<(?+l0Gr(SYw9<^$kvs#=C%EZ$0 z!dq`*ca=wG5G~gc*(rm}G^de1KdG4-Jj@mqRrHKDo}1O1RHF^O)Plbqmvo`rnn==l z&?qhIFewJt5Qq*~BiTC_!|DXR30uPsKdYt#aeKWbL*IoqiGs)PQa**2OM<=QNnYS; z3-p~+y5dkg6zamJj|!k!ON}(8j4}bHl3_VtscR7rC4 zO!Tv9QBZ4?Y=EZ{V^I8fTo=Yh&HxDl=skMBJpj|=fAsrnYw&)>Tir_)S1VmcWTCZ{ zm+OIR!33oC0-x0bb4SRG?0JK7i3)V71^FZCJlSvXd7kh;KFuVZ$t5h8eU$zYN=+Ge z3`;7G!UXeUhi;dEJx|Hace$_&v;SVE{=5j$^oG&y>~-@KXX^tLz-hdFaS;TC&CSAd z?gn`Y=-s`I31*HrQ9K$GQ2X_CTWkA$Gi^yvd3UFW@kR#1ysfcz(IHpx`d}YO(Ps#P za>?F$6(Dg2-)zy4snTWa0E>i@2yAjTm=YI#eL8T!Y2*|XBmYi55qHa|j57il{LD^7 z8k-t!bEW9rziX-=FnO6VsyW)m?k2p(DV*L3gUTT*VhpoM@~^AeWbdVAra=jYD0`06 zV|ZD*<|{T4aT%RGdzy%dXp!oq4lM!d8={bvazW%Vyvu#dCySk0RkFVt+Fgit{E;r( zU1(Vs(YGtOcYV)pb!uGa-o|JJz3Ep4quMHH~ zd0}#?t++q^+I(PVrb)qr_ATF;v9B3{z0^ie-7K6Ff(H*)aOkk9W`$X5|I`*IY}I-l zgr5pMv>J@lfc!eSCidha@j8y&2hTTh6VFQ~q!)3>X|v4mrr* zbaGW_HI%LT>Rs=1y4Gh}OG};H(5+pY+IbYMJjUQHTi3^aHmQE>o@SvGOMigZ0OA0#vX;MLiN+JmK@UEFL zDB%mQH~N-$W~hdfI66bpZnKPmSG;?x4ogdquHYzc^YC^f)12&{CC2c^luyVZD`H??WSZ8l@9ptt(k+06AcTNR=Asz6R3Vj#* zN3Hdab3D|sqTzj56Z4P~9@tg1c>O0Mn`NzuVfC4Y7qT~sDYI;i3e?0 zIneZHiAnMJwaeEkI3Asmt*gl$m*E5RBUpa55V=D?D%l<>DG`DbTnM4)h8mXuDISN# z7;TUQod`Ou+(3j%bBm0!=D7m_r;Q4gx-wP)H4tlLi#$nHmJb>|XVO#HA$O_ClG-ub z@ggW88|mwhj~<@FplC@$U|m>R0X;#|8Z1^W+fCntw*tKEsnl^^Qky>~pQZBjeGDkk zzkHJgb8Nbl^Jz$pEXJt7NeRM{7A?}C-Ox$FcnWmI0As*_$A=`-8H4w$ zQ~htY3=&(BL!a8tu*9FOa?*ryhj?<%Tv$0lH6&j@bcFb0trSpow;;Ga@i_s%;QhvS z?Sro3-6>}g4{JKT8IQ$>A|bn)17G`MvjQZQ9;Hr3x)2PKnlO^d1--Y6BaI}=-@KcoXaw|rj#Sa~2t&Al? z4ZGSiLxn^xJ3=VODc~foO^r0?CJysQVffHgTIEr+@r;B|Df9 z=EiSp(`hhX;s8~N_KbBn2C))i8J8-OUD5Bvi0{%1BXe_2Fg8DOzSaNQtF&!r z-kQm9Wi5znwnpwVx9$ED;2cK%N;A!zPC}tH$r|y6N8Zcu&mHS>E<3dcg zdDP1Jc)m~%?B^_kMd>9<{opK&O^bw3-6d49Y7}#C`6czUmG*StH#8XA1IGYpYd=t7 z3t?~J4U_|1K|Nr6FuB`o@;R5gkl?QwjRvx2=FGdalW_{`2YxJ$oMS)n%DM+gzDO;= z8LLuUreFb)-cCVOg>u8+rlP#S?SKtGhWBPLU9KQ)JUV2_z0X^8lk!0GO&l888|wYN z(SLO-G!Gnj7a$sSAT3n@t!$&LF9hy--T>IM1H86r!`hFy2l^1I`LPO8XygR$$&5hh zhIz)64;k zn5h>j%s}PjVPM)0G!Aa6m(V^Jr+eFV?4<%6Dt%8+Nu+Ou$M+8D4|MA6wd8%%D z-i-(ek%feWiV6xskZb~yA9A3PoyBeeN3udqU&`WR@I3wJXIL%rIb4Grta9{V9!8Gr zZLFPu^xjk-k5;~v@Pk^)7hr+01oI>)!apk9jBhx18GRXR&Mcnujw&CY814vgodW2< zOF<_PV4G3(es0tDJAlBs-*@%{89~rer+#<+92Y;I<<2+$`X(6YYP$0i=n?ssVrA6o zQmdSYl7Ve~68a5yuqqFDm{XK!;lBin2o?~cmM~ZAeeA-^aDq<>2(Sd2r<9H87kJ@x z>5p5ShdjeWtrXEFtzI+QK~U~F=o6jS*w}ajH3w0BAv6~-6VaTE+R&a5^V&4ud&?8o z1ymZoAJehq&m};oTU{Fub7DmPxCIsp*078GD!5}JSX3H=Buex0;0-@wgFJlG__)I& zQsU%*`tXnLiOLu@=|Y$mokT6SP_|W{l9CdYGAQx>#L0!n4HNYBO)uf!?Ix0=O zF79=HoT5-+zrg*;;`P7q^9GO$ci38+v#xz{hoX~6pfa8rQ%BdZI}^isZAc3YPk!U; z8hn$I7|f?$ahkT{?L1ry)RA!K2-iG+m@FL5=eSch{h+Wg456CePHw&jnQ9;{Gp-S# z1ZPQe18BwZe~cX^X`L-Um|+ns#Wc3xYm!Ap)Q@M%`yAu&?A52rd&TCilPVsB48o9w zVZ6<{qo41H0igOxYE9hCw1-~>MGoe*#2ti=lw3FB^CgR_2MFVlH`ZpAkt^|LSW-Qo zKNM-30(C^?Su(p+&eATc5o~0D&vh2tH%BypNy`4_3xz{+RD^Wf;#hs)3w+c4M?h54 zCOx&X8*he#&VyOAPdXJDoq@TNI@1&mE6{|(@S zIV^lCw^@VsU&?`gxy^L1SNNQEv}eH!AXQyjHviR`?456R0LvZ=TU{ssX?7dlY;=FcplCyk zQimnTG=?^Dal;bGZnvMdfU+E;068HFY~AmxMDzP9`hvbxn4;0deeTOH zeS+>qEtaFB3+d9GGt-xMVgxSKnbHM*Z5_MnHQN=0kdJI_0p;(Mt=hCLUcTJK=C|r} z@7LZ&eI>+BTOKnkC!@kW*sZqU*$2_qof|EteRcPdw2`oJOjIG7;p{Z#a zLRX1pdA**qRGM;zI1dbQe}SWXIc=z>ItX3jyk%v}v4Aob?K@3pZhO;x2JVVEV&?o)Pj zLcO29EUB6DA7wE)PL&_sbVx%jlt=thFk}3uIWk?{T(+EgeJ8(xnxdmIa&G5e#D@fQ zoO_}%!@?5#sDAH;+aRUuyaFV1S!J#jR7b|M!Ig!y+%NM)r+5<)S|3r22zmyAmyqO9 zp>R;f7W{ot?s+qne=ap>isyV(B=uQw$P5d##l( z=%xB5iCCz`EIn^BePHqsu^WdRaV8M+o`CrB?vYbTuq^%(zlxt!C_y$ZKWa4HA19JR zdR(Mg6biYNy*(4u9D#?AY`NV|MKSh1^%=TcQd;7q=dT|?mvya6@c7pX^G&reTdRrA z(EM20NSKD+N37Mi$#~)=kf9ibhifLqPlq>lmLa)xW-C^bo1n&P2Foc_U@iFO@X+2|DiD#-)SksU+z- zni;TtWYgTfcHktgM_Yd9NAc|AMqRQ)VTj22qhCH)CPOEXM;<1`hzR_3mt<*Y(Hm@f^r11)tMsFYkCrE={-)A ze)JL~vNu_!oDO7pPedFC5?gtQGo)af*n|TiUhb`OJ_o{OG&VBo0+XouhuRjdop~#z zvHTO_?z@1WE-?cE+v`(lBDCcM%};W@zt2D#lr9Ie-YSrzmm+F0`{?;1eK7s6jb@e$ zUCJaJyiFp^3B#5`o6jB&t@*LjWCt~?aP2GQMh2+CYc=HB%jW;#q6CJQBT=7(^nlKv zgAgW7PS|l1Z)2rrWk84)+Ik8Boo%_^Yk>qNCZ=v^n4*2b{|D2G+j{Xj4vAjo;1dfV zSkWfc@ZVl%(i+*crke%2@jXZtyRwuw`9X1$W*3NBcaeGD1-|pe_nWRAWsXN!s9cxJ z%bNQkIUJITz>v0piW6$p95^|k=OV_mGyKu{h9ap(q550J?W^^0Q!^_K19eu@b{-c- zN>b=LlQ_F`6W{2 zEL11riJ4u3@C<*hTW<7R1-3|W!^=t*#k6}i55ES|B^wWGCS<<0wXt*oVx@&#xMoJ! zI>-yp!NrT&m&KML{*doNdF4;A^`dd2A;#2#+X#d#@A zTqX;GbB1cQJ4sNjYor5qoQu-i*2GbLv`AD~e8dmxzT_9qjMI^x`1_XLaxv16m{jAo zEBXzgUiV`@a*cAcXr!Q@9J->`ws@jU_ zCZ;B?fA%@=XJOeg%0-AIZ6Hl|6nlGaKch#%nr#UAm`wVUH%2f0F7 z&BT2WHS#K&$LKmtDujED`q;551=P_7iqicVz%t#tTk0F%my~F@;p3b>_=|HEZCWMgyySW2T&5C~ zagpcWj*F8z>Hay4_7OT{knX$R6mKbw8rptZQE@C??z-rTrjrOQKv~VhPu9s)$F_R~ z1RM*-PYI0JC&z3bNq6fX|8YOiL3>;ANyuu((;oe-Nm7Q$Oay&gHV7=YX5`rMPtEh68A!P%f|2h4OVZ2N(jbP`;w%y`sWoezN;cqf13?6kK}#~mw(g9)AdC$yb==x!Z@rR`dDyWsW@*2B&~wNhTGbX z5<*EywatF*%4j2103_O;VyC=R;I77SR^w|3&nHq>hKr;DV zu!5PCg!uU<@Vz3!dC_DIH0XqC=8$0>B)JE1VHWaXA<6y@`-v+vN^~0}Dh*;8iMDXC zWEwsF0t564${gS-J=gM$%m5zj40wRkqFO&A4R4 z@l9o%0{mb;E$`G1kV&y!Bz+(KXVgIoY@sE9N}yqybax0D!x>5aPkklE!uj7Q8c#6C z!vrc8M}T9}_T|5)g-Ro1V=n6i=*LO)_#Mb^?WYwR_~AuPktQC_(3_+?MnT^6BtA4> z^A3N+FF;`z7wRSEg%T|JBQv8&HO|_r=R*yxJKUYt5`u={+LyARUzcLiaSdkhm}n|0 zN-Zq@poTp)_H%Xvc#R^2N$GQ-bJ@JwC2PAe-cSx!F?jpdOBGU$yEJZA)bJF_7s-)a zScg~9r*REV@RWD4G^G#tkf)HrQwTa0YoT3wcqlT2;R7^#i!;|)baU(^LutybcA1hQ zDOl=v%7FN~+iw8EI+ChSMw*K7S_f8wJl#-pCs-?O_?fC2kAAdgzp)lvWz9?pw4LaL0hTntn*o)?|T4S%_Noo5Yo0D-lb9ii0T_}ca+Bzl!nI>U>bFyY`b}hotOri ziEu?OD@&uY{gI%et)qj<_U1!s&X84F%lTcL0d)(3U9Z(IMF% z-g!0cw@k{rWl1%p)Hl=2iuORlO&mRn^1wG|dfNDfn5^RD zd?j(oW9vgisMfs$m%oE5NU3bHV^qNY8N4NAr{4gX^TuE05r=Oj4jXlErj3{9IfbH4 z>?S@AnpZ&Pf$sx(&?=S?qZq&l9pfS|<6;9@50H+#)4m08Tf&PYP?>&FF41>wjigZR zO~7+lFz0KhL%6@n18)(5`ruDa9Ds1cYxIx_lp{ZYch#wz``iCcvZuNTHJO zFNKpq6i$G-41+-<1xDJ1qFyc(5a#|bmM9LhWrIT4g6JCXN5$8&g`o_4kc%9j8!eTK z^q|nrB`hUI8{n7qB}r2eBT1%@ry78@{;qCZ1_3WPbNye6vu>js%tmoh(cAy!5*LF0 zZ+7wj=OrRY=(4h||K$?FzXWl~kn+NQbdT~w#&h&jnxo7Xp&`$udJB+q+(juGEY_AM zq*EC?g%}0-1}--m^goXWC8||Ig^@t{pfipE)G-}LVn&52AQ;bgq!kZ>U1i84V5^{O z5On(AD=HWkPG#T|J^TXY-z{3TMig8?p>$XfhY`10qkGh;3gha**+KQ`v%B_TMIitl zLib;@(WRS??GWE^KYk40sx7np!gyLUz+z~p9Sda^F~h--hN>TyU}_XYZeN9S$JnZb_$b0glSZ1{R;c;%K%Dbis5jSck8ezD`YQek ziJvNE0-)=g_BXu4H?DyVwf1~;lPE1?LvgUyQ+WEz7ZL~Jd1^Yq2@Mbxct<)- z2GL4V@I8Wn1xUIo@KlaN2Uswu0g}+tT>20io%uurbzWCes>5TrS_c#$0y`+i2H4(;qmE?*Ea-|x{km&_%w)O5#4Pmt>+}ya zgfl{2fjcNH<0Tyc2-(h#QGj`nn|N!C-)ndV57Jze0-|F}>x>ZyE%uO;sY*lfRSZf{ z!t3B&GBMH9=`IB@n3l2l>T7dO(%i8hsFFf%2qw@RcOAPpYgpp3ytXg(L5J&k;J5Y; zyncNgGfb{d8;`|~7xsW_P6(JOI(i-ytWr9=ZbKj7r%*2&_=*vcj@(Cq(uWlEQgUGr zdOp~;2HeGkvOK*zWZq1%SS%n~p84PElgeyl{`j_042COM^{SrrSD=mWm58|!F-y}+ zra_y)S^;pmz0tq$n_o$b==cA$QTtvFg!FTEv8=CQn4FsLB-tO8A^d@cm!1W)cjm>{ zz5ybXCWls{&0$nuBOPD^G{U5Q=w*P+ddEZESxK!Licl50#=vnTa|(%44g8#gXY}ME zgNQ?p83EzC*(j`Ooe0K*#44nX@v$fOeF-%Y;-jc|mi&>LEzDF|mqoS5aD&JLQGz8Z z5FzHfcwUGGj0c4YBP%beK9q-415TLJnEpdHU#zO+>hd`zc!cro-V4+i-raYvEqS&G z{h^m&2z=qiC53R1xYC>3D|#ugSMW79EzfeNB=dkXL_ zhWJKNUS%A)TZ{e|Rs>dgqVf$qz{lPxJUJxK)h@p&11oRM~9&Zx@pzs}hJU4v&wW~a5 z(;3kT_kyAl1`5gc)l+qDPomlM9qt$(ec5XhB$Q#5;r@^CNfUVdo{(9@S0jK`o_khHVlJ>vA?oD*G=5WF8bWv=SSAn9CnGOI}C6&Zd#j~ zSz)jzFDHIHy*lva@nf~zWj#P>TgBlMMR<>xmElJNww*5x@nCo_oy>nH{*{RL4iT-b z{%SGy!vzhi9Ni#YA(P}Mh>hxI7!vP8qXf@%hlnZD;_BHjo|2wzih+H-VFr0P_*PJ? zVe1dugdpT4<$cX^P&odAFxdYF>wOWseZaav)x{DxW2t#$7lN_)0BO>B$N5D6y^><8 zd#8fnAOr62sXNkfPV)YF=mFTI@|>@*KE6RwL0jd&{fH&c)ic|5b@Gs6Ua>rInjlCP zwhUkio)Y_%;=dxK!6UbsFqLd3rd$gjPFnsC4^zRdzNh7L(k0kMK})tpfWiLj*Hi@K zyzW{W-B}kau-Zr<|74i7O(^g*hmo9o^Q|k}L!tvnNJdncTp1Mh)oiCe%kfNiQADmgj zTjeBQ##LkjtPH27>-5?Pa7E&(3@FZx^21{W`K0p!I;jFJzJ z;{aah*vq`qToeL&RXyfW!{Y+ohQqyJXPs(u4QbJb@=KO3n?HLBDZ z)=9Jpc3ryYu9_-=X$ZcfSE`s*0@UI1v zDN34rSwrSu*(ga+BbctGdHzR*AY#W8d5K{VkHN|Knhme{Kfb|#`|*8;apMg`I{b-H-)qJuzWjQd+w9e>{T3C{5vO175iZ4y!Wk z(|p_IYMEG}kIq{ow=&t^DOSkli7OsP)R%kdAq0w}n_Sl&|2omG|K>z9qfx~behRyF zQ)GirTf%dfHTyG)IgCt`dw#vl*0J!7F}iV<&GLM~Ylfd85l`a9w-2v&pa|r_fPF<- z;?23RM5Ibh#`*g~|Nc**qfxDFt42F!C$?Pnc+b{e6`G!5>S_3X`USM|y)L9wB2?Yg z6KWVDCUffe^3FrZU^bzR3;6YvD9E0~b)CXBNP;V|$fr2YgIsxURl&s%EP>|6Ce#tfW7X)fXCuL-OdcQS9^m=l> z!}ZXT8{G~gfNsexwFDC{#bhHXbpeUC|IB!8n8BygY6_IX#~mK-D8E74^^6 z(et4kpfzn}!0ru%>jE9jPLo}kF)jZl%~jJBnx8&@P2Wp@h1#RH%lP#C%5&QF{0eIZ zf9b%yR2fx9`0*f1UvQp@$GbAmiR%OF+;`x#2dGQ>O$S~b^jy1@(b>{^Wt`%Od=C(2 z9`ScYK7dO7fy0pue`%k|f6_jQu6`FIl~ADtUqH>w)qQ`T4=|)i!!rmp76Byz;2>^m z{oB`8g{r03EF@j&IhOa+S$%cYeb#*P+SPM39;9>(yr)^eeK@6$M|Lq-2!I;qX{}9E zVxs0pFP~}HsZp3D7YhOiOT->IkR7=30j5lvFQx%c*e)mZ)Ge?p&)o1vwXVy<_K6>& z+j5pC6+P$fJ-Mfm%Hw&jt!E_mYs)jmF_vXsvTnK|sZeyd$Q6j(hnKBcu7yMR2@7Fj<6GmJsDlGJx ziS?9-Z}TDUp{n%gHd-l&-PZq9B*`J^A*rM(DE$~WA0)&eO=%-9so>{6OO>St7HAQTn2PKPU@_#Dh9N8W{WpQJVL+g|LQ|6~>M`?}PKUDV6UiiRG zPwm_FXd#*>aHX%(!B9x-u?2S=1;&UL7Z{(N7rAmP^1wae*N8p-dC*^?Eevm?#*Qv; z-2a3W3$KG5-k*bxL(9;%j)z%=+dW!h-OzFOWcBd1D1pU;x);wKPrBy*O(FDQh4rP8 zYz@Q1($#q4Y*XO+7+%rOI4Bn2=#y^3I&tOfsm6;mgGpI#A$S|R;WO2&`<{I8Fw$W) z{dY>}?g%{-IwnWWx7-zG95ED@+gCab90PzIJ)6Djr?Md6wQ;X28 zW#?M>MlUnmPN5%e*R4kTs{4uBl)YS9M;&vU^Kspsp$tO%6@635?l8vF>||Yu4DvQ>Zoe$ zZ!)l&pLSe)*7{wGfrHYd8eVTYJRWo-7W_dB#41sx|2e?9OTGS^Ou;Mxo312KJeJw_qR9o zdK0su=m_k$6Y{A2!?Figu#&38^Kgy$S0S2@5<(%e5Q({;Iygnvrtebm9dT-Uh0Vh-g_qCQ!#?Y z&@9UV&u+yO?uuVNd0rCs@D=#K|9%huydF@QDF`e^Qc|)P(&}6;O^*S|$=_Fk10e%#B0WppD$_d0o%hw<1H-^dF3kU6}K_!GuQ?sbzlr__uAPlc?L=uf~MC zUR`*7UIMXtU8vXTy;>ClU7MqQY90DdEG8sx6e~1OieVOd&fEDR&9I z{QXVm+Hm;eIl77uij~50lc4v=@t6D^HS7N~Dmg~z|4oh0X!gGU9Rb}!7{Swf$Jc4B zMJxyRAkZ(=v4m|=yFucbnO?=X&{$PWB&%eb<9zhM&}`dLfu4C=cd$(r%3FhJ7SBo# zb-S?`>DPXkn@C%pNMMqN3QlF#-L?&JFpXb% z%H>kn-2PCZuu7?Vub{o<+cVWCg=;Q3!)vN7n>;H@38ofji$w(K@3pezr+(t+nr<1| z)h}7CZ8W&A;C;x}KQ0L~p><5DMO}z83||nOH((1nRXN3%XYFo~6g0kM5;p1jt9^@r zqEF0YDCPm^A*U(OQnc!ENkyd#O)gvWJGN1V@W+i4RUT_y7hW(vKJr+^PRG>zJxo2% zy%$v&dCOH>`x|9JquRr{qPq`%Mrb|rAu{KzJAn125Zi=g(hZlU{x#BjRbq#v0~SAD zQ^yn4JUsWLGu+d(w)%YdW9a~?DXC|>#WO6fowgZLmqlv>zT6tC#=mvZq9$urpFgdU zDyi!n{4L?$yOgJ8A;GMLN&aHV*E(;VDjb3`@~vDp6J8AOYjoZR_78GcrgfxMOz-pS zuJbS`nKl9D=V`+X*Mf7dFOs|idBsfg{QHb;sX_|U(}m`{H<$;Xeo?h7=}It7cE70* zFEk%g5cTOwkU)IAWc{)?b!nXNMt-|d>Jr@@gmHcA}U&C_8n{^4N2p-`42t$_c zIyOPqNeJ;ib5+}+^`8eq%b`2O+}oB51!s~Rw2}Kxn|+2kGZZr?^O}YB#RTZ|sL>l= zk2roPq=K)|-Fd&Q1Sm=9H9E}j<;;3{e5G|OL#1K$#q9a6+vdscg&s-MZ1z9u0cz8_;9kvj=B07L}D)eC#3OEDa7iOSDH8;1REgFpuq_ zq|(I3kery<1@7IUD_5EOG)xo1Xs3!RpUGbwekOsyVPFi5SV>6+F2|OCT0|x z4*jbV)3|+Smj3WF%_RpmonyZ@jlhxf>;CT>m`hD3E#;8?ua13Ry*VtYx2z|e{D|2MKLs3`mLyLO>+L4tr>>? zz#r1=vNfBVn~|O`T>yw#5F$?7G&XiBoW3xkZn5#h%OFko_587CF|OCL>TF^*j6Z8v z0tQ)guxeoy^KUy=CHFk;)V{xdKgP)X zUVa5sy&C~E+JZLtQANIWd0u2|bt{eW!J|S$tqb=u>nPQ6Tj9+eRPU{IAsnBB@mqYO zRx$wy-3svaw0N_H0f4MGtJl4o1DFp};AEY4`tiGfxXnUZcHJbQVRoMhxv!w!dCCcct~w4W(nxHLZdoam1I086!@WxyrYtBaRY?tN|NZy(T-Rm^gkYZP1? z+0mR>i>}W!7M{N-VQ}5;O;nDYOVyN$pL2xKNxA<~K0@0i)h>6F;&ks`IF!j-B5UPx zbrJ%W{cC*>+Q4{Dii_Rfb8@oI>y~w&Wbc(my?Lpb-UfMh-<#h{IV|(M*1FX{+*Us% z7_eHJXT*~8@dw!S$TVSS;$4~#oi&0kzf0x1d~`+9>619ZsBmb&qa0Go_$V}hwITUq zVZe}Guv2TurhVG{_#Z~Yw8vkJ2J)5mxumy`El-?1k+kXOwPA`El1}NaHnjUNk#XpL=J5HFL4ybO z%vJU(0=rV`;hM@8mM+UMCqe%m`@}Sm)z?|GJbE;dZmHq6nk!$SVGi?sPq*|eufbwy zXsc7b&yHv{s~I0S4REuhPnj|!hco~&FP1bpeHBO0ar zztqy?D_xGCUbDU++%Dbs{zM5wtYe9=pA+sFZp(cY`%&M&_k4Xx zrZ>-yWpMdkt@4l7`i{G1A~R`z4i17Vg}w4a;dXQ@KNg;J_CUwJS?Fwb|B%9s@cL#u z>`EtvXbo=RZd3bJgqH+IE@#=$1UJ#~_$RNpW#j87k!H9A!Hq;iox4B|ieFt_4bg_6 zG>^UN)m-y>!0LQNrQ-lQWGX$f`4E@)&=wzI_q!j-pjEMPlgz!D&SaaM0uChtXC ziq34TvU!~CG1;Y z59B#d&pef5vkqfXV#}KvRcnG~Cs=oGm(kgb_njWwb=~TVMa?O@&fS%QFTURjo0O}> zDET=leYJ`rPl*;Zp=(i{-_L7YwY-*~g(tH7R$Dy);TExb zTlCo!{ZR=AxF8nmCLab{z{{LzhMS;zxV^Eoq=B2@^YCM37rS-mNJ?`&%*u_}O`X^l zDL>HoBwulRLBesU>LE|nPzMkF$KInSveMWMQ`+J#6>t^yn&wh($wZS@( z?)K)L5+SXx>+8?-n{qa`#vhc`9N|z{GZkyT(k{HM9d*aU`tr~YoBdo~ah}rV3yY_P z`C*R=ozGPpJoxBbPLj}mSpA!7KOg^&C5ia- z>cU-1izAnR^#{=A*y~GMvOqMeyP3xA?EHSg;mU*Xe$#EaCY~fZ>Bps|kY}HYq&jE6 zLM1Ub6xhMv*2=_Y_iH?Pys{;PG(Wj+F9-izH5FrGMt|I@hWhh`~|*A zvMef;j=5=nP*b_aa}tA67n*{b-^K`w0qr}{Bo9!Tm;Sz6&=W)*kUI1d3ErH7Vx~7G z-~QW}bzSk=okt~kIvQtBXm4__f1LMa@PvDrQ{FeOTJM$b1f9X9;g5-wPhaGom^tE7 z_+4T|(RJvQ4&e`pf^!0R__nt8PYf|KePdXQoNr|+Z**-G|%Uk*&l;@)@|NO=}FGZNCeqQz; zA#;jQ77=4!R@S-hIaicLP8#MH~s*q z1pV4loUiS?mvFCGw~+fKGBV{;QD(|!IUY&Klwasu7%1!)rY8`mb1@LCh8A#LmW zHQkf_$2<)R+bR#XxMoOqrL$btgAo}IO6hHb@T{m-Ol;xUXMXWEo11exMxRXe^|_=D zd@;XV$3lOBMq!P1CEIjIS}cWpyRP@K(Br>46`fl%SeZl{zu2Q% z70_|jI(Y&xw6hR0ZCu7#EH=sdT#(A{Zr!d#xNMa$;lk$OCBS1%4cd1DL^3aaNWytpCB#KQ7{>lV8TgMd!Kh}TfZooW&e4_beC zQ*7I3^Otorcbx(!LvnadGV)1{ygVg+XNZOKV+9oYy5JUY25AM&%{?ykrf{OC(+&mz-l`Dz3TBip41w1#u?+P9zo9&iqrX$&+-h^+mlrbtC{%-3zeEY& zpv_Uc3Zhay>#Q&^k?e@i`4;d3nmEouZ(^2Ux(j}vNTQz9Q7ZkM@Qte%ANQyC`6-pN z+O|*ftD#om95{GwH(-)-U{&F3vj$XJZ5C-?B`Rl^julSy4GLW zP5H$Z;Fx+^25ZtGd0Y3Xh0q{Ca}egOe7KgfYjWHI_3r}b$%$;Ic%+8Z^P;BgYNgHI zsjYx2?>sr6Q0MjwA>~;S_cVr5%t1)OEP=V)kXKlO@#iKvBT z>A^a%$|BQlh^VZH5RZl^uAG+C7!MN7vHl4Q3O`73p5)hi(~0_@Yi-b7?L4K2k5?~b zMR@|gpxkY3`Tk~M$gZN$z)5D7IJ((IFv|W5oG9(hiOuG!;|@I`I!e19tS7GKnmuY` z`D+?e#IqG_dfIZS2y>5_N91-|jApIhfcJ_rmCnwqUAiWV=@&X_D06Gy>4P`H$gS?S z{hIOWcoMkznTpvB>6Yxq$;iYcDZbf%A&_a~ExhgUV!j8<*jJ=mm;E-vjs;MC267K> z>s|K|JP~C8cinq|JCmHpy%s(ZZ&=kHOCr-KPyN(C`DJdhxUeLcI`eslZ`t|8&%7^1 zBTdu!jK|(FT^;(YpcDt!#mZf)YfA0D>eOsf(ad6&B3qXgtu8}rv%K9C--Z%pi)+d} zR$}^$KD4#e>}q2pjk{4xuEyux#hYy%JAZ7PYnGDz{K5eGkA(>h*g)&+GZ) zS?2H0nRD+s=bm%!x%a$p*{bj49OKt7;;+wseUlnKqNV7o+V8S9wEhJga7yfc4%^nU zo?NC;DG(1oQjOm_oVEq0P_!;*opW_=!>vDtQ^%51p7;i~exq>s9@w|#fC{_1@uXX( z-yjFQEMMSH@-_QFQz3d)u?Y}aO8uiX`+ul?1(*m=;xm^)qlG%{R~S^v?fAW=RH@TP zDb&0cZ#VwCS0#k)5EH-o^KQ~-KK5u9+>RC1d$t!e@=DnUN6RTpk> zHRF4k>J$+l<$^WdQs2&DFrTYnyQX;Y;G#{v?`+5WZH3i3Pr|E!PSBcMO?Q3xG8)y; zxjM3eB9JN^^#vAn3IS5VyxzSwzI6%5kBccUdQZoydKfnG ztzZ;JpKnyKGoN8Mo$wvQ`L%Jy`!AWk{SNY$8Hw7Zl4&vrLR6^Pa@nE}IJ9ar_xK`| zXq*vnbNf9NY?)$7cB_0tXJ*EdpgfR=zf10L`w|yvQPsmh8*@qCyZvC(&Beq1U}za} zLMLiRSgI(q+m)hAlf(Q6FKWli7d-Rgo6@OZlYdcAHtV=1Z^8t^jNaD$%;qNR*@YhG z8Y22mU{=-$%{Y%Y7kUVpvF6)RFz#WG${gUvI8Ea`6ZMiu-Lx4M@RwOPI4fDXiNv1(9vF8Z?CU)B*I(6|nE_KxJv)g~7d9v1M32lE1AM=55aV zF}dn9p)V%0;D|{n%;S-6F43yq5f^1uUj;|Io`tFKT6yPr66t?N)FI{^XXRx>W zZzS;s_)Ug!jEGl`MDvK{xBROvF}Us4c~nAj@27WNFeb(Ay?Mhbt(L>s|D!3?OeMhL zx@3S&JgK8pd$ifC;m6kgs%mXcj-ZSAvjWd+(*HWlcBK|3rfsO2qT&x89IkqXm5g4e z-(RWnDUGx$#Em3yDlVCaW3JcY_B+%>_$3+?I2im%uYHD`hbzPtDRqppf{w49R~`30 zl2X_o?~W6zl6aZhR-?fAC4N4t6s3q=SLt~%7I;Zcu8+tp7i)f>CYoJ(;pmlThXbW# zur~dDW$P>-Mcf^Dmu^I}DTC8?jE~*ip^;cQB|;T+O5fZDK$P|rFNc&sze=h0zA2}d z^!LGkM;C}wnQn{E_FhWbRve7>)~#Zk?^nL~zOORxgKIw`?TdF?pi>vy@!hDSO-J8D ziInP@n#zE#97`{ntvZL*=96VQ7&hkv66MMlv~yY~yJH^#gRDntN36Kdl-j4{_#^Vz;WMpM)DIGp1u3T$aLtTsROL{!>#q@A8Rm5}y?RKNg?y!8? zx0KE}z@y(n>+Qrhx@AJ7Aqxa^Q&?DJl+*qdp}B>?VN9=F^17wf0u-^UDGQL)FmW~u zkW(%_;56UM(aggHWvu&O*HVnzoXrNE?;n>zDcwn%zzi~F7f*WuaTs)73>KjSyyVCO zm;!ZbA4LI0bYPsjAUUG3AH-K>5vYT23?o~Ay8lpY#^K4g2|? zqa5|6f`hO10y`Ctl(xTT9ZV;;w+_CjRc?$M?sZaGlXO# zR#{y^6U5~!h~$+7K85D-L_&=uYV~=dumbgZ#cI-Q%yu=d-fp4&z->})2&H^}l+P$D z{kdN(y03hko9 z^&`#WWeWs*mGb(ha*?1QLlQ({jNDS~?wWFFZ{)o+ABp>fpbBTF#Re*vvIVPu`H=6@ z+#5N52gikM(thVrj^ZkPDK_A4s)<(l8F^MN8@3%79`l65!D$M-qb!b#~bd^6kXUt{l!TD==D|3=ZU zfx5+A<0cfeaLTDh4m64@vxutYc!vJ~jpWG@Z&<{Mt;s$khzoe?o6E4S71rfTUBSac zR@v2RkDPGDM<8dj-NubAoRg}$1&{vFTY&#|5i6{^<0ikgOfwsr!5W9D@g3oNTx%m`g@j|m3R#xceyVr)>Hv3!;?C@j|^(5$`W2C z2uSIu>*1)=7Z6^(exfX|z4#Chzh6ca7!hn(_C|AW<&}8GZ`Q26=MlH|z8TH9iTV+x zJu>Xp8JJg%4a)GS(JepIC!~hJT%9{4p2n`DyjQ{*NBUv`0D8_FlI63&W$ZCJj`Y#y z{k+4veu+p|qF14gq=n|e2PUWPeq_2$8{T&K* zi3J$f(#?xHV9-GER<#n%OX(3_01HT*ei(r{^|N8ZOQjP z8=WI(-K@?l7-iXY7aX~+rHmY;au1h$L2kBNc0@LycL#Fm!~fRV%)v?ISiF22s{Umlc%z*-=m&K z#%}LUR_AYQ5b^z(gqb}diTVop@;ih3ULSIK4Zfv|`-k4Z)%MGG0F*EvgQ)FIMLAr_ z^fgEuKYXOI%9LO~T7O}$$JI12dDbn8c_n$3-2puIytwswGq%E+1)v>q#$U}ZQ6c|a zq-%yF#zB-z(lt{hmf620JNnlZG>ec)Ep5zaElJm-n#8oHSlDmvgsqiYB=4AFzBO(! zR~A*7{GYJEOw28@)qU1d?*gZyrIcF4ogsi9aJK34W2D*%johV0?RF2Cgw@9o%QLEr zJuC6S{4Z z7GymjvZg~rv)GmFy!q6o&JRJOz7RBlJ^vWVf6$V<_#Y16|DQNy_0u;1wEq>*lJ5yn z$27MH;Gp+jEoSp^!v9}J+n}ag4V*eSlPmWp7LAF4Q*!(2x`6E(fT<<>#FK&ah~bIh z;IU=s+-ZD7({KUR=frBc$gB?kM^L@5rOuo5^$FtTkn?Z-7cc$q^BkgZ$N46lyUCNN-Y z`v3a@TUiQyA|ub?YX2A1>YqLBzGKtWe@Ev%gMh0=LJfOKM`sPvYBOiFY)1}1E+F`d zsLgB|<01g^Jz*_mpS^Hv(S#X+u$Y45lWL2q+R+M6VYJFvFi9qbRQ0}uC-nZ3UE`cV zt;3p2QiG;em0P`820r0l!7xX+(NGBjv;*1Q`KS2n9#tqZmVwd-dMo5Ih^VN4A0#78KOel-;@4PQ}R{xVRr! zw?P!NyUfBdJ|}jE5oNWX-!EL>C)k8E(F)DS1T1dFGQSiqAN)NVn?d<;@h-delD_3< z^XFX#!GGCal!!IHhooq%zxtS55u5w*Y&i!@L-r-StX3dCtf>t;H5-=W#J{+oKg^+( zHgw~eTlc=WbfXEH?TzN3Fz^G8);;z}yGIQ4_1>uZvE4o9(z6lI+`I0Af=F*;>lp&?%bTol9#UwA29lI;VzB7Y(n~$`V`0M ztm@_`xN7V}PK}ZG7re!7Q_{Ri|0Ui_ix`2jbHf@Sz}|@5%kR97wfa~IF!2;ERjuO$ zAFMs^Xq=hgXzuiPnQ~HAuUl;cSDSje$tiF1DR{L$$6&aNemrDdCq?fhG3c_Ti(wkh zF;>Vl60ZBc1(vv!uv1|_s$!{n&d3rlAU-!Qn&}gPF3~d<8&Mwne8Ax3jehmNR{ds$ zBAWg69V;sE4#DX@IHKI!l4U%4g3GaQVdvTNC!L&B83Q&?x00>^1H4?U(qUk!20bmX zl=t}k|7zHcDzu;rlqmdxz(Ap2r+uL>3#cn58Z}jqqz*Q;v$mA|fxO)E%eR{S^{tRC z&^8UpmM2%ShhP_!9~G+z0n3fqWZhmqPn#jlO3LM5ZMzKYCBA5&Q8F@(IMmedA6mpj z0SSWF(fzy}5@fi_97z-felas6t;!9IFE(+&=#<}aUKv#t`kDQ&iG?B|L1a`5H-e65 z<&U|WuM2EjROp}yNjgc9)816?*h=zv@oU*v{1p)YQV1CpRL_kfumcM14WP0?UIl^` zofladHiGEf6W+RYWh~zFmoG04`|HcC_^6;{!Tziho+rq2#stqS8UVw)icozpUjGRG z&&6UK*ZOa5&^;61Z`?7#-3TnurX>NqY1*D-vb2jpb-W*q)1pBg^6Fj$fPSIUPmDnJ zVz+0`0&Bfy>^%9`LI!BaY2mNOsCHhd!E?81oA+X<93`Qdq4KmyU0vN|ZySJ9=Qu$^&kTVC+Eg2#x6XCE3XL#Q0Zp{F#c+~1xL4t(2VE6V&O z3Hw7ZhC%-!@_;C+JteIpNNIUIFJKywY*zC1qCHxjzWyuTT-yU&;b4AeUzF(!kms>x zVf6F`;=U$t5&N^i6lW&Wul%9`DuZH~p-iQcP!sbbcX~B4@(3wCZi+r(eZDsta_-6u z#9tK8XxyJ3^Em#VESQBB8$Ng%n_u92^$beJ#1rUDmgz1lzEZk)k5(vzjn5K|{2Eoo z(AD~Z;}4q!jUc7=RDkM^G{|}oS>QkmOltBbj+r(i^*%B*n$|VRp|RgG4TNpG#;5$h z8IjY@Q{lV{oh$#;qw)Q9D~zG33svR$gTla4quvEo7?QC=fQu-7|sE?FHmRX_K8p`*gj~3fGBn4 zWDYin)jwo1$7A%S&>vikntfrdq^k**%W1#8f=IXtYb8)u z;>*)sFfw^sY_5@dSUdz(59d8m}amqSgg>Gq# z$&i1MUsAcFb0^T@f2K(dVhgBamR~PB5$q1MHp$@d#*WJR#*&IU0{=}zsz01Q;u>3z z48?DY0@^;w3T1jG3H@wp$;K#r8mcD+{KwPa?i3!1H>`CSJ?oa?1+leB^(lRGr8&C4 z<11qUR3gx@LXk6xkZf*1Q=`cckj`qn)U+xXqaj~$;?$ly|AQPgs1)-bqY8$>dD$hP z;ywo#u#_jWxPUxz{+(w!xgD1*A9W{z~GNAkzj1cCUcuj4tFp7nssJ&9i8F z*14^ZlY%j9E6!y9?0_k82EnHRBLD9JmV+tvS;EyX<<5L-o;wMQ@D^F3#EB3%f#%EH zTy}D5DlY>;z#p{WG7I1M3L+S(;rM`+ zp8!QQw#=r5u@YQp*0?>ed>gcDmwV0Y`~GNUPJ0^zI?ew$g(n(7jEb!Q_p=&28Y6I4 zlgmlw;zPjTgyg%2hRneLiMJ~DywUBR;k({frlgJ6nz;Y2*egLw`2qQ@tBV(36w90f zGnN^d2iN$8;Zt36Kc|)c@Sp<@Oej>hACi3;e3L{2+2GgHrhrL!M{>#i5&8vMAoCOa zPj|?IWdQRo_bek?nIy7^Yw4db8-G>=42UZZqGEpK^(^?tkr&<`4(Fl6R`p0Z0^q&h z!}q+jB_K2Hoy)0k7z=UjR*4f2@^yI#9z@ulmM?_>?yir%ncb2+f-sh3a=7{SOc2qgDU_ literal 65634 zcmd42by!td-#?5Djwl8ej7_Sj;OQ_q-Q5RK52w4+0!76_z#1DB0|Ps;#u)r&1>d#}CLH$LB7>&)VFX&u`2Y!?<5)`3AM3&X-9 z3d6#}herMi?)){DIS+iaatmq1u(I>L>%+pjmw701k2Rn(TC`!wNYeMKWCYA$cYBhN z`O}PcFdTtOgQcOsEt0`yw}L@bI06Q4 zVPJTC8XSfPPyWRe6@g2Gx6mU}=~OP`zbyyxtM#b#-+4t7SX`FC;1%(RD4X9TmXUbU z?>zdnF1HZ~s6|{q7l&T<1RdJ%cQsm{Q4J_TBqJ$6%o?Lg?^0R85St1R*zzJDh$i*+EBNM@E9 zZBt@&HkANxQlQ-$g^Q)o@POEec#+5LClQzwqDEulN_=#gz%9VbY)%W42^36p(PZoZ zPDiof03k%U$wzP+X&91+Z^dxLAvJ-(LBLRSyfO%$(j^L;58;&2#0Hi}Y(}EJS^^qK zk4U$gEH1z+(V+6^ zQF5C@h%~vd;C+e{sYI*f5;qnC0ZwgTdOSF_7h?gWQiCXi8j1EJv92sIl!Xj>xB;&^ zOM#|S)N&UFpoJ(kQ6wTIj^ISw*jS_l$Frdo9E)Fsv4DqaHo}9}>3mj<*pGAjj4+PM zhY4wP0T-Cu8I>2&koM zLoBbK#L{?KG>5G~8RUQuMpMykOcM%lOSEugA(g|37lMaY3s{dz))8cE zs|sx}D!g8T4Ubk?tr}N=62ddFA#R9Z!YhP6fDa-)h+(50;0=daQTK;zUp%u1Pp$fW5Ekn&x8SU@D>W2KIekzzs$v?`Ixr~nTPR%?*V#lqMU zIUnmLaS(E;h3c?b*dc>aBf-H5G%&~sw1e;<{Ai333FA9xTA$ac0r&AZXhksv=I03D zFcOWBMWg6hLL!Gl4`p#+Spo;nmL+DvundV-FJSo0XoeDDP^#%ToER++asyVhNRRY} z+$@2BC3PVYXaPpe2#Adiyb++1YEw&X2=FNqD-0pPx)YA1I~{1Li$|5PoE{yKX1<>BbdN*G=*zW;=l!psUQTrOgss$VlkXx zTE0Uk^jiHoo=b-o>v`x97Ve^xDSn$og3=izC=rex;3-X5o64qCnVe!4lf{=RZ4wbG z#8U|T92ShFpuz2Q0zr-C$hcO7nWJ%fO#~6gB%oX6z+Y1Jcma!N4~lqj4w+8GVu(QO zTppjPQTS8@s}SXoSTu47|0J?0M8->fHY}i-7~=7QUT_y&`b0t>@EWFdArWw@?I1Yyl`bbnxNNttCR3W&-Xi^YaY`U7Pv>G@}v=*tz;@O-g z1e(m@*{ycEBukAEQrH+WUhA{5Aw;o&i4lRJ5Xo|bo#}*J0-HxrT4^|w*rRl7+*qz3 zEm2}kDnD9F_4w2nD~iiCV|*qik|HM=MJybJq%l#zTtsTf?4!|rAixvJcBP2wLRytN zO_o(`(DDURCW#9kfF-3wn?>WLvcNZ^7y^bLpM`Vdm_`A(&VpmbaI060A!`kEs@{$9 z;9Ufd(B#0t{1j2h$ubj^NVvx$w5sKL4^PkHGn_a}Nbe-G8F-q3%wbq{0C8l$PA=jS z96T1u!E<>{GGD-gP+0V)5HkQ097B+bltEmFtV$b+hI5ihdWBiy3c;Cnoi%_{Ku*e{ zlvrs5G)zwrpj0@ckf}q;tVE{>!Df)`92`SLffJaLpa*XzvDhR61xE{b101zSjYqL~ z0lNmqa^RSDEzLoe$fzoZUmuhpC@{K)XC-o#B)46{rh6157)7B1nF|rg5^)FwGMOwF z+vN_L&mfXmDKM)Mo&^tK{aPv0pmnMPPKKWfR7?p{AP9@Vdu#=bXCmSd!hnOo&{)Jm zh1{SIB8dVai^#?V?Hmrz$P`)a9HvtsW@(Lnd(cl6Vc;$yksZ{C{XVH&E<(v2Aj1%v z{7w@p%j;5ec?Kt$fP-6wJO;)C4@%KOg~-513WY(ulckb45EK`jW0E`lE}(5H)r@mV z#R{E+=EXAs0fK+Wr4n*~4wD9f;1L;t7IYd+YN-K$Uy&uUiL5B6iGlSg*l-!k9F%9F zc{-AlBlfaAL0CwHQIb$Psw9i9#?V7%7ey^4>H{Q+gK7|3*ajWl>tj2~G#riQ*SU;h zyD`AZV(L(OeSqp^@d-4PS}W(E=yX3)uHqBWBsK*>fKyZ;B3f7!nBFgf8EFiOMxTX6 z(F8uGN#jBi%mI@=5DIa8B0kYe*LV;V8bwQU8aQr*kVEwWFG@gjv#1stxUXX%GMQrW81)$7^@I|G(iVg<2^<5_Wgu}J2o{CRCrKSfn8(e~Sh02u zNdy%jV`G73xS#d4T-xQzzGAkYX2MM`6#X(6(jB&N811cZq% zm5L|`Cd+JB8v}GPhU+ymIbth`jdHqSB9J->^Z~StEzP3IIaVP#r1L=ENQn3^yQd}L?ND^y!0-Y!1Qfc@$p3f`^%9R!rTc|c5Lk^9V zDR;U=*en5p3#4O48i+cVfJb$}>^`^DA|v`kY^TmcbJ{&@CSR}Rt6Ww$5yCm!>C^D7 zbWaF@3=wcFt}T=mB>6Z@m@CUo#Q8#O4qr_4`DG?7$^MJ4xt(ST*Jgy5a;Sfo5xt||mOOXMl%LXNYK`oZyH`;wpCWU3=$#E7F zNfF{&989=YB(m~MQn=mcK|1hmB3!4maz&m1c*!rcIFK-w#Yc5!vBVk{o#95%(Aof= zL`ArZ|7(rYTwLlyggx`-hf_^-CPvJ62k zmrViX3sL9C1w9tMg@z+8zOpb>! zL{_g~=QeO;MkT>Xqzce(Es+El`_M)`O3M%^VQ_oMZ@_av2|&ejkbEu4CKj^=W|T|i zp(9ipnOE+RWU(-6EyD`ZO{zqr@WaI%w_IqEP}OV8f$F_haYf^LL^=O9=d6co{g zfJ@mbr;<+%V);sxQ>v0sX>yrOiL&E01cxp}hfzXUvH|!JA_q^jQwi@hMGZro(FNc&C}pl{w`UxKGURX{AU56?7R4GB=URV^h%B>JC&BRb0jY-}m(XkqxiR1}3+);ughs9iCsM2M0vL=9xAS=zzm&s)Nl6%? z7sYg7lv1t(LH7rR31DtMh<3Wrt%p&CUZp-j z_9M|ErHQ1bD_m%%P#hv7^eQGvuEB@&C=iQ@Zk5Re)ly72A1_iGoE{E??)LM%CbLpR zP{0&un1?N(t3w19N{8oS**pv1?|E|(?u~$A<-GR zUM(977a%ZVx7(GPV3p?`os z>dziwOT!R+|IwO7QjmY+=ZA&Gg)ztkabWDT+ifO_C3UA>J?8dIO^T1(m)T0Nb6c4< z^DJ}6oJo=)f7CS`O1QEYJEXX5kxtQe-`+%D%IpK>e=Emc^`>4MTyT-G{8MNqn@+4` zKRSQz;JeR{-W=_B{Xw1k+v888yY}y-e~$Y*CoDWNF8jazNpBP0k@)|<4MusA((`Hx zqwmndT17;s|JOg!@m=Qp*E`<_6q8yJOFGWN{ZG%bqi3Q2`|1!e7uSTv3ulsh|4+}- z^Zx4j-mbV9fL78`MpN9M`d~xJ~BBVmw{BT*{otjE^*B|VL$ED|W%kD2o05nD? z<+ST?RO+HKpK~5Ab217{8>JEKE}Qs@sxGO|C(nLVvrHVj_lIz^kA&ya-@aY7G!d|L zG%8SDuz27LJ)?Ee<_Vkjbt*5MsMn>lA_j@~;dn~(?;D2x`=ae*j zAEqU(9Dx5&l#y7k^Ch+}omOKiN}vAgg$tr39cI*@Y~8<>lKMk=6hpAeAFyXtbvkwA7AHAJX3DldD-j-c` zx1qQ3ugXmN>$=I8|GYWx0dw6<^Qn_L%i5nz7@rMIQjjq1Z1asJzb+)T{fU|E$U=EU z%a;_0)tJHdqq`9!Ue}$vB6Ce~b*q>+NGacRNceo-LIm;`d4K+zUEdg&CRL)}bUnM_ zyr=Vb4IbyWA|{b~krZH}^n!@~rIy^p%r9rF_6!|#wdv*{@uS7&L!vdiMt^ZFXl%%= zufDfz)G)extu$g+Domm6SHqz7-d6u@Nu%<>sGk_W+cr93XU7)cPK?c)Nk7`@Ty~^9 z3C5WA_`#sZC4V;G7#_n}UiwoMe*jVZik(>viK4h&bVBRB?iwqQb!DCBK|W51)GhyC z6m0AoM`rd){Usv_uvVYH;_UpIJw5(!W}b2Q$>zyTj;e_jC5JY({sFpY-Q&o+_P;yV z8+@lP2ZnLO(BoP{PP;`T8<^^u=8xUxY^W0d6xw(ov}wypMo4J<){%u%Z{VL|7{$bC z{pJrsd>F`?aO*$MT+tJum_2GjiEf1E(w?P*en{`PA?bM>bLOT0g%GEv2{~Kvk z+Lkmq4{o@l5@yy+{o$kD0zF*6IYE~XzL#*aqf@MV+FJ^bYZl$^z36DavifzY%P=C! z4?~{@1km2raeX|%Hlh3PCA*A&q9@V$4I6C{?C9UO#$Tm$&m1uZS%zKH*YuCu0NDMd z1-nP1c66=q3xD0iAHS<|17-ckd&`b4pMF_i+43|pZhSGYt{l#qB;X3;o&c;6Uc@yo zk2}sy>Ut=>!;e81#lXikx7gO*axnfyNqfT@V6O9h-9Egfb9$-oH7!N_l<+_xwDF>W zZ6OIC0usJBoc^VM z5Vi5b!k=hJ2LpatPGmr6xCo)4j_?#qfY4Bouzj)l#vS&inLj5E17okWNICljVCLuo zMDg_S?t>=Kt1mxX_I1a9W*k@@kNj{HZVYl2>VFYvbixO2Wp+$EvEij#_~5*Yv)uHb z`3DFaoR8W(=JGiB|8d&Ik?bo49AIjlj?{Cd*UyCxF6q6#$My`wqz$uvpl3!@bV851 zxJoDzOpSvvw28gzO^nz@=8x7_(WOM~lp@cO!I{IxT&A^ArPbu6?}J?O@{-d0rgZV4 z>cUS47P_Tm+v-hg)k%++x;XuoZu@v3?T7Y2X!sGB=!(0>M)?8q!8d&>*O~bz z=ZpV9JQue(5@<oQyp1#C+1q%n1URgxl9hH)| zvgDDa-H4Kv^XCtGeRWZJ!HP`}MoG)+XXh@EY>|Cy zdkwIT%E?7FG~tnMw-1a#j^0_2lLAFefZ=Qvcx47U*VW>YL1-yVs16FAPw&)W* z;rLEh2ft`q*IcxJ@T@jJRa)Q$u50W5>~LV=&%)n4s>*nL(|&Z4`pD#l=BQ6&tSj#< z88`XE$zcs!cZ*)s9XYnQw)o-U$LCkxTwhY6!S{{Su#S6gFDoi4I@RloeoM!)IW26Y z{~i%pc%p{$2skxryNTbvyxTwe?V0-n#`&u{e4xhA1u0QuJ}-$fbsYKZuG742?-fN# zr@o6E{(CVH~6v z6f~H#V@Aibwj>b1muy(1?VO;v?(5&8je=G{qV40{Z6l(0h_W;3Z<|-%rf&(I=O%r+ za*ToOPezpX`tIzb`-71%?}CFs@9^+vM8t;fJyLUT({t%PG96>KasZDpKNMBp8N}Q9 zgV!ZwXFmiZcllfG=w;NtxIRns!hJk`&SB^(-awSNSLFe@2S*?8Wid&y&l(re;)skj^jvgOd)Nl5^-hW=XO(%3LoIQI^(`VL&r;C=1 ztJN+UbNv9!f9=(~Wr1(F+ACL19hHhi>)zE(8Yde&dE3#CuLq;Q9BnwH+@&eiv6q)_ zDLX(NEEQuj?&9(Ik1y~0)#||f?q_9BR{HB|GyS*Ly}R=%u8}um9=31E&F8(>jr8?c z_SUSu50G}9|9BY?M_4!}5=7-0X-FDKhPD7PR#*0V|A+PVylxMoOFwT)6pL#_ZTd_s zetWwiT_W4wKKpRNli6E_n%j>(%{yK5-zB=9DJ|9mfN#hl3baSefO=s7=`!=y|wOBZ2>)EfnOtb~>n%{Fv0(sr0 z^jr~qYrHXe=AA8{U#hdl4Qp;%;60x6sp95!)P%PgJ-v;boh$jjV1L_W-hNDIF5A1| z=+~#_Ox)`(lipPAzu{Qd!Sk?oNvUjm7m4iZlf{>&)b6>u;q<9tA8NXK$sbfo`Ck6e z5#Nfw2F~Qn%aLbXUHYajqvwQo5i0|)U-dkEzf5^_VlZyi&Rlwqp(~{&IgS9eR!TN! zohBk0Mgvy-sOiK5rsdYWZZ*-yyT_ZowR@K|ocS~V3g)J5{Q@ptuyI8ov^-wubneuB zGjG`UvC8+cQJR5@D!ejg_x4kq!{v&CuH!aZr_&p@k50e9o7;sKDf7UtV}#x82xq{qgFWO#j?k z_XX~})|^hG-xmA&Ay6@cMmAkb>TugVrD`>H9JX%ak$vwQ?OE~FW#t`=&BHp4;;)%< z_{)sG-##rkR6hg0Gj8y}PQ;R8&+K6>rZ}}fsQLEf3xzWJ3q1c$tBYE!=IO>;a+5cJA1Bwv8uDz-lrIzZP2S&}f9U1f!ezt#WvAvJ z!TO%xjUV2S+jV~J^vBNZZ@jNU8t&(OrCr+^e(MdB>GymZU6g8>bq~w+!>5| zzN+oc{bz$~YU($x=rdfuarCoIXUh&J#Ux~6pFWjtcY8j(3N?(|-mq}N`MleoQs{uy zIPVt+Z82m3*C$_MfmA7y~S5ONK-IDRnHrsya)rq_e+fmND+n@I9u;<^# zta#_!iT}22-Qc0esCTA(`}65L_ETZ;y7?oS6P_Jh-Vy)gWiBzvKA@)Sg%O)mF}JDy z`Q@Yx+lS@X(vn_uuBezbT_GFe+iNRV&-Gmo&ey4JA8w|i9_?GcY|^A>x#dljtnHg+ z#-Ys($5y2c`!;l^8}qG7*K<I=dVzESvQGzqeNH7 zOj$qb!<~(xyGPqB&oni9uUuL9c=g=dGb_rkd&iDlHMx0! zJleqDcI2({|2s@)ow>1RRT@zYArGeH#OFmcN0}*jg zS2qYaATEQ5c&+x_xr)Ug%tRF)?n6S}S+U`^|9ZygZ%yvqC+{|1E8V{RY6J4l6BrqU zn*;KT_eYvcrlxz}z6^Lf%hYdD(;c1q6e;P&Z!hkxd{bB6&v344|I=@y-tTyIO~f6} zX!GS&*uzH;pUycr?#bU#X_tAUzjXW5ZJ)WUTv%6@^r9hk^vZ1|CFH@2`{*<;n>4&{ZBg^0tOKz#k!5pdhlR&O5i4@}vXd#( zCqWd%#Kta(`KOonZ;4P`E=U^MsYJU+tcSgzCXg#%|Gn$;>sMlnlAAESRDT$VEBp>oJs=un7`gOQ zY52kI63M&PR*)o$3;K_L!b<2%Q(Uo%vMMOQ}=cGQ!+GOc;I7&r9}{7gIoycP6g9y zS#@O%Gn_yIMVaPnd#-m$SbC-NQ$ov7C^M1|s{r|RTq=+OGiva(eF@R~AZmxav4G51 zD_U)CsZnwjK(6O*Yi(fGBEXmM@B0suTA$blrEV3ikKa2A27jom82?=h|CA*;7+}zU zRiD|D{<|-+bAmS1>^QOku)Vd-_mZtT5u}`bWmHO7PIeSXukUtfZ}_0P0W{m~BIUQD zU2O}A)$|Q5h#9{EbQzqfYvMp?CIBV$_xy3b=ZRf_fQs|Y=E|*Y3)%G%-+Q0A96+q4 zk9HIwc2{=8q3JJc=L2lC5Kzx!E?f+Rd?!h{Rw!1tVzh(V|a7m6lK zD>(-0`dQYMW7hm}m3f|Cainw%GR^o2H{~?Tgy=mtJ*IV+w(iKnUDx9NDHQ*yVp>IX z0iE3I;f&;>uG>W1Z|bH>St_r|7oRGqyHIp^e}VWN-@1CAFHvGRGqIxa;k*I(Uz9g* zFFY4$%b7YY8kU|NU6I|NAO77iBRx#en-*DC7Y^+uh2WQey{yV zCTY$h>>WjqzCpXXp`p*?fknL}dv@@>>t9VsJ519K9w5!zF_2XVE~pJM%sBAB_FDb43Pb z;pC#HSNw}6{GRyuSK6hF`89LT_Ike5(>xS=`RJxGm+f2lOEb#qxzj7ZHl6Lb;YYXm zBcXAkhkQODD`Z_Q9R8?$#;e{BJGvj%4a84Lz1qa;+UG)k8tm*2&~u)?ZQZ#xkS_~s zH37KuXZ2_#)S?`g>^Ru%pm26*z=U^*r~NzN=$E(L=ryEo!d~CGb;D5l-}}yKBrAjV zl0(gBo2gp;>4usTT-%%>ki+S`n)Rb8lKyXn`1mEqhYp`J$XxRE^!n%oba&uJ&0k1`X_nhFWO1(Avv^8;(Xn^($~|qal8TXFwv~eWy?>Yy@Vn6!xoP}g z0K%iAL8CskR5tm}y5{QYw@mKmMoTWem2sr@Bk1-%NbFC7n$wVos6ecU%Bqt9B{}1x z-uFxRFk#*E@eRTwsbKbfo@K#=i#yY`+K1OK8JcEQS6ADIjarrn4Soiin%ZM+#4XO1 zI=<-Fp{c?PqdwJN*EV)v`Gi}-7?dI2bi>>6Wj*zFPEHAb3s`8YZS(?Kv7mVK=BEja ztBj9pj~<=;7)-i-ca=yY*}J@CN#@lrYT)P>yb28(JlNj+bVy3dtFLE&X%#U9bR%~i z_`vQ108N(`6G@BHF0CE4(U3nhzUa>Cw~L=m#P!XwfM$l4w9=d~elbMz!TkGkZNf#J z2|`kyXM4$wwQJXMdY>+RYf2&`%wPB&!moFWBUf%1brlND;n0SVHn=&h&xY$~Zw?-L z3DRM!jIf0bAPyG|o!fqD`uNs>s0+^Ck7MlNWesER;kXA&IwVzDuN5xbyMG@#m!6m; zVSFq;aNyd_6wuSUR!hAX`F<#n_py{jDEC~`oleVJIAB}j)s07{$E=z)9r))Iaiazi znUu_zNW9BR$`3E-eHy=I)21i+$*jd&6*AeoLnS#K?AA}Dea{PPq7yRtl?3wZ z{k*xleSn|qU)BtZs7~f96v4yyab0_z8h!Ay_Q-&iS|QT&sv(kifbpKUJc{7fwGfym)0=bc#9k?-Q*+)NPl(U|{D~t6TRB zFKJu%YZ9X>JZZtyk`3|I{yUSdZp+wj>xa*G%TYZ?uIOd{ba>!z9dojQduhGLxe#jC zX-LPT3LkATU%EbOI(>m;-vRCibKh-C7Jux_)c%=kPAJ$4taVpb@A>IFTF0b~kv~85 zd|HRyxb^iNOBN%~zRd><70GU%m>xCXk$JUz=H;yh)W`0t&&NF5OK*;R9}g5VGeHe? zb)aUm!@KIrz}_29n?6TQ0&Vw_u^%S2EgYgqXCpxc{ueR*c;B2EVfFU9j!9R&^HU)> z-g=(6oFD|{&EP$|cD?R!+Ihv3`K}|eB>xad4M3AEUif~(x%QBoxC8u8$uQpNn2wjH zL>5jIY2$7JhhS;Iz1b^)j3AmZKdEcn$!)v3C+&?%kVquf#QN1`4Xaprng)}uE^=!Z z&@o51bj*{60BJ8vR^Iy3t*X@eq6GBs{^FM8HsfJKfT61Dlis8kTOmqSj6$+MZg20@ z3__PlkiWkHwcRKEnB=ZM55<_aB&Jlq`b*O@#Asq^?tl|Hks1L&;+*$mdY-uo*Zw>U zkkdX=ERi%0s_*_nSk};Y!I_L}HwKyOtJj-B&+Ys|6uXr2FZ&%fU z^+c^V_*BH-vYO{E0pYRI#W{BH;fk(PhWCmW{(dFO&0vEy-~;lBVL6iU2*%c5L3Rq# zf{KM)KGee<_DlS=;O6P8b2 zyJlfd?!w&TCLr=5?INO|%nc(5^))yUWj_P|^g3hVE66n#K--hG`M2IA54f`^X1H)o z(u-3!_Jg$W%#{tx4NpYz#FB#4*bNIn9wZE>_XcIdwss|h>B_@_S3R4XoA-lSs9)QW zkT_QXaW=Gwvp6$HzjxezigLs_;gbGst}wlm&Nk23&ob_91FEKWIs2e8s}IO+W@q04 z{w^Cusoq!AH-XMvKYYcU^!MQDi92dt9uQ&)grqIWnQF4~o|m>{&-p1&O#YI+}k-MBxp;On9V z3wGO8s(ZYO{6Aj-iGmWdXyg&y1}L;_Y~5F)n6EUhDhVG-t`ybv{IjW&NG#zlaIHNF z1Q>%|U7C1zUHY83`pdU&-5Qn%TDf;ccKt@e-GhJsvX@sY>XZL@;vLf7$Fc?8Q_h&*T_c}v zcsaFqc6O`q2{BVP$8Py`Uq;1=56#2s{p0E{UHIsiI}`2)rzM5P%#3{y77_hY0s;l@ z;hl;u9f~3gCR+PUiyyx}y>j%9tzva`WG)@Jz+XGu8bL;84XW?*q(@aPUefU7%HQ_A z1BF+gYpEA9#wCWPTv4T9&-E*2g{mYgFHc^)^hu!4*n(d`Au#?(koWX#U7NibjHDZ) z2J!8(?GyT3R-K$R_i=}!C(f2p>Bq4--zI;|`5akzcH<3v^i9sDB*^ z0^ruEAD3g%;|NhHtJ)kQcycws6x@^BsNzr zTG(&ilj`cB;zqJ;%ac>VUp#^r2RDQM_|A;>TCk5@RNrUqs0hRW;PPse1q&A}aPuck z`f{Xb-=e1ND^{$S6crUUrSjI0gI!ws2GJ?(+nTgU4-u41T@5j-y4;!GZFR_8UiemV zg{3_^yL(~RsJVy7rwo!^E|=6Fv_&Z;AkB5a;SY1`q^Gz^G3~;AktK;_Q!@SasKaZs z!z%L8Cx>*V?*|+mn%<*dt3QDWwzn6wdbs!2^ln2-_ui(Bkt3E#isl~2!7tVFbAx9uOCv;9qu5O!82+JV@*>+jx zt_JYE#x`^TsaTyu2K_ur$4feofrP*HD}eP-^p)q#DU{rIa?#U#l~*@Tz<1CnRR9BmMs%9i{6o@O-RzN zUofT{$hAv8PaAmc^2QLzXZw?Tq8#qy(NFlt%jZ}ByP?0rR%MKfZTiZ-)RD^3v=Pso z?hFfW)g_NS!L)Mo&1Ua*^{}nO3RiOK;%4=|dapGe#Ct^A-N*UKQ%mOVpC6SFJqW0X zzjr}JT)|<0#MinNmyV`?zI;phscGLIXXosBw@b)r#Ee6hr-?_9Z>WMTa3AW~QZu(q zvIRuwSrR=s`%`4?qdywCXDG1S2br_tW_1U_cqM?+)2+Q9$47SoOBA503ygn_u1X!t zJ}arwH=lesD7_T&9b(7uMi-UR}?c=rA19i+HE@xsA`>M3rQ%h#X2 zOU;3^B8Gtt)fxr-tP*c5X?59fFe+uN@a%2+Sc9i_>f}nV>`Qm?x3lMy?6K9e zrM~#!eQ=QD;&|qnO+c2^g9m>LKNSV+Gl7mc+T1NK+X?15wKsQa^cg5Y)gAeB;@%DA z1!EYmj*W+G(xVu<2>p!uIvkqLZGA=r{lPbzRW>VhJ`59`UR(-nGHGhkm7d{|Nl@!; zZp`U~Xd;kqGzJ|dn*DGJ2l-RP9zkRM$d{%88UcAFs@(};JoQN0ui1Q z{c>yBj=2+og?{W_zKqA(_UP38?bkMDI8QU zDc|x>=O?YpU^^o$P(OnDXG(Q?yI&?z6ntNf8Wu-%hLQl1Oe^5OuuHqU-^jo+BpsRAw=vZ3Z zC!qH0FLJhZ2l(H%muLU4;qk<&2}2q|z$7hM^z?%*3boF>Xq)F;uN+EN%Yg3F6sIV0abV_FM)8@-xl@*9OjK^06uSApMZsZ*=L^rA z`MqPfwOvxrj>)0kHJ}SHrqwY3sFJ&7tq0NKGQni^McOeD;R(P=7Eq^eJ@-DXj}j@bW%C;G|Z^tJc+K(t4@oF|9nSfW6POQ2W!WCuru>i}+- z)^^%Vh=aDudkonBb!w_V{v-iR_|tkMlE()QZH+B2*gB3JhU!X3^=3BV0>H2jgx9w# zj4Ya3-hWf?R>y!x{v4wn0cvnS*^U|0i*Nm~o4lWPlR8+M-@6w3jJT{4Y+P&&-*9*1 zcfvj>da1!72wm&y9v~iQ_bnOIp_K^C$8K!zjW37?5Nc0wFa14zVr)@t-(aT~L)Wwf zu`cBWa&qLJv;qTe;S(ng0K?I`x(}G4wcpCehffBqeMqjrMMi*wbScI2q745rgnc0G zz8)+uoH1nZr9HMqS+T3KQ4Nex;8zc z8amlAb{y3{ZhX_>X7t*3`o_KwZu39AJUOY!aqq&!gkDkYa-3y7)3(qaf<^Lx?IkdKPfO2hy{mIV_ihgwj&ypQD_>L! zH3lBFeWv(aI(BPM!&sFV^o4uGZrZ+m`{2~nDpvCeP=G#W9IEJb+PNZe_UzexxnA~p zaOQe95a+q)ooeF?d^wis^luaO`}SS)H0-%BKPqBeF>lgQZpMMdwt*j(t)%~9AAmfw zHf;F!P92PX{L|^f{|AiUbL6b-e(@kbr^ozqIlZ_m zK;Fvq`@nTTo5&k%Gwf+~X(dsEr_|zh&S5>?)hlJ9RY&as)~Jj|Eg>9t3rabrdFp6Zva6y#GK(ii30dp3+4eaxTW^5;F|NFjc-7Q@ z;SU)006EnYOCC8}6Hupg%4rWkrj1;7Hf}tqnu|(Tt|^01|3WmbCw){^Mp^kmY?r8r zoDv}YqWP%%4J9*MJ^q{Y9>9D8d)b)~eh+FC(fa*4Vous6 zx;n4hqaXqsQJo5m!KOMzi~9{YNP34>Mf)2Dp6CcVuZstDJ_EIB`!78BYxu7q$Lw*d zH**dYJENmtf{n$Bel#ipoQP%Q(uXo`+;*;b4H&8*tj0DcW@nEE+WCq@vvs9pSn z&F0dy$89KUM|O9XKV3fdbm^#Fuve|{U~`fP6}Pi7h_;{w2ZV(VrOnOm0r=B3U8DJGY6uxZ!vu$cW_Kj4rnLPSdbene?r_B8C7t z?%MN;-K*7VAfbs&I}&W1z1W@Xar;W}}|RLDMC4tYF+!p7CE zgNSkK!16sFKFV4NfbcY;=f=2;mTkbks~{L7!{99q(TJUH0*&+iqo?}1@6uz9bee->Y(C4eV!Yka>}DFc*S z>*idjJ$wSbdA^tc+)oV9zv9-!4f)xfz-y!85E0=7(8_7&diQM8%IJ#xQTov0x}gH3 z{>YVA+Ti+{orQnUEc=_ezshLFNDZ`~J^cBY?m0UmUsexn*=_O&K?S+Mv0^bCEIL<^ z;f;T>1RS|SW(iiPwWyMl|C4g`W6xp~k zb6zFUHqtn;H#t29uKeZeG^$H}jV-a)P zoDq@NJ=)o|;ytzX)^y^GZ1j){SG^r1ezrxxqe&WdyCUC%mmUmH`6U7DqJ#aD=Ch|v zZ3`{WLLVQq&lF6)ZyB5ORR^}QmqT-hW^U^A@6iUl0M2RTbOX*v81bM<+B&kwi6gKl z_5+{JJ`;kjCbMe(?#}-JWg8^7$!&k@R4{5lgE!}3HR+ZIl1j~EEy*#m+$13;x2;hJ}GMX`GuWdf(~p2jXi@Hmj`r7c(yDP z{kZZ{hg)4M$~Nf7@5^o9BmMl}$xrMtuMX~c1xB3OpBLU4rUWlNdCTt|UJsaO9)rt% z8jCG6yCDA7;AvlHlpi~n2G!{`pK-lf-2|evjB9o7P6({quq69c7&Ozs0!T``tZidC zQ@U>WJ3R`F8e$nHq8_J#Vg2Ae+U3MS?fIQ%UoAUNBRhsfXAozw8T!Y=ny>)==-(jt zJ?Sb*_!-I4A`lR+;|*z-f4KXs;Vqcz`yVj{w(O5ma)uNX#1~ju2MiyV!@9RoLO)SZ zT?Ri{7zLeV$gAK-MnE0wyv*HObi}0ck1I>BcR^^F!-ij7bL_hF@#a6E&_Z3ksmuS6 z3vz+}yvy>t-s}wN?e+indh42Sz4isWYH>llsQUWBj$f+shs!$50Fev!k-RAKci<&8 zCjF+Uf8xJK8Ar>vt-z*34ZV6eu5-@f7Fl)u|C6jDm1hbTELhiR^kf+tjh?r=<#6r! zK&EV*bLHZ+%*}m#pN~ABH2(0@h6dU44;y+}dwf#P?>n;Tac8}G|45(2XdGI#96N6N z(a+1McRX7br)IK{dF;s z^nZ+Y&@bvrNO6XE(g^aPoBt1cZygn7+w~1!Vj?040wN_tNeC(e(ki12ARyhKbR*r2 zC?GuyDXnw}NOyNj4mmVPcMdar$6VKaKlk&z-}>J5{{OwY7Kgy>iv6Bl76_!H^^a#IQ4KOM$cl} z5jAj?3ZeY${zx`k;vM1bR<(>j=m3&Gg_86!>s1r>1=EgLVpNvp%5>>3^AAE3bC_>0 z*9*4)y5;`@h&7B0@J(QNx&eh~-|Gigz`rn>>UU%UE46pc#LVp_5w#`}Qlv`aE8Wi@ zn612pP_e>hQnO=Gr;+p+y`R(0)H!QwYi~}ub#4x){(86Xm6SO(rCocGEO@^L@Pbb> zlW7nd1v74E%j*RydJMga?cvpyzt7^QHlE-ASBh=H@`@!M{yeWfhOYzd{i#RLb{UWL zDK9ARm4yAsON5nAITfREsL86$XknpSNbagd565-1Y>ckQ>uS;J{iOOFn;E`?22YZ; zymH()*V~)Y#a~8ezE>!kJO`lJG$3j04H{EG;*X`@@iNa&CtLDe%U_Mxa&hbe-+4hM z!*qd*Bc8M~#n|Ly4%qQL;~>a;Emj3i=XTga++6mUs*Dcw434Gu(0%;7INO}OJS$eg zrP%DMlO`E(x@zm~4Di7`18aom1&Q!>+PY0m#_pH_LP#OmYtPJ5VDN(^ZBFeGT1m^Y zvQq5AGJmVi{->_T+|n(lhov%C^XjvEql@prYbq&0+!fE9Jn)=Y%EMr6<^0@rhrU>* zg8|74t80I1N36gl52>KE^T9@5Zr2{a)Njxb<1oecKBbB&2gRxEL%-_$$;~e$V&C@H z^)B27=Uao?&)3xIHLb@7-&g&|J9yBWEM9NIOeR=fR(901lI&i%_36vex~{f1?a2yg zAjq8r93AE%;k!L&pDCY~966O0_X{e;TUOaF5ElyHL^_={hgP}vJly0rv~d-Vixbmz zaSg@AJ_0kZSv!q7Nzcw-Zl zo{L$lb@etG`@@G7`B_<}!3)oQq<&~%-?or=H(U^GTqiI9RjU_6JEm*)Ji{T?Ca;`r zEH2>U*cU#q+-_IyFW0QmTPgFcqWzVIK$yY@=EtB{KOfm=m6B#lBIw;*CzL~;uXxl7 zuIJr$h6sj|yH{&@c_ro7nn#MAE-K|!8tc)ypASq}{7Dk}STCWhJ|+Q&?|s0t&TG%Q zO2#sat%`e9s}{B<9eNbl{?s#gCOouNMG$GiivwF*^MKP}&I66pD;@tnvusr{cTH&N zAR^l3gQAxg?yx(ChoSgr!h)yRy72LbE1F>6`Wg>@`vH!cXl_oC(mNJ-*+bxOj*4!py9queap_wnHf^ zgX5yDn@L~&QmyD_Pq94ex95=}=m;>yonMW6VwJH~wU9K14XNkmffl+B^R6O@5=AU|zndCJXKJ7fir}#U4_AFf{ zhK$vBUZa6o5no+-jj)$s|MkA{Go;ik`leP1YZj2fElkc}GB? zPk*s9J`4zBydrC9a`Qh1h=m@KcQfMJ+M<%Yc2#>)_3J8!RXd&jB+=UP%0gcD()5n; zOZdnNG>A;XFY$=j={Q3{c^}@z+dqP!5iXpZ>aRE5f8_&`mAHaeZ!CeE6yS)wed(2- zLslFwE0zz?C-p(KQ*n<`(coK2W5d|wl+%m>igw$|dSqn8U{u?_H+|VLHjosS41Vj( zl3MTq;9yX;qRUj(;Ej02{j7adj=N!{aV zK7_kkBnO^@tKMrzDiA!Ft+KWS3>epeEaAP_%p|iLh`J4jCH(Ox>WU&bYOK!<1zX@+m}k6HVl;f5MQ!%b7ngAx-JQ~5S5 ze#Bq?1G5peUfUb;nEjbNXD;h2e?yh(X2J2OIR&h1=t9zQ%Yr;g7H01P^&iFw>9Z+5 zPc|7(pkP$wKWuildgZrsG#XF+A7LIRLf&sqyM%Qd%x2@7be{PkSm|0RP?0a3p;n+v zq_oau!W2f0-{^DusAo4k_Im}=b*db8o#;bc=C|chAq)bA>uP3GuETaWH=yRV**-8c z^^Ir}DSyLL0@%<2A@$%Di;iChsIWKh{E$F-#z~O#6bB5y_1Kc_nuVRGAF5nd-c?C)o!8}4TJTQMlvxOlM zu%Ty{O#0LnIjh6&m62jr7-cJkjCQ$oQ>J#gwRimS(LRijT&PYcWQ zL~&#Pyrob7?>iw_+_a1qeaZV+2j8-&P_7Z6>M%c50so0VWZy%}b zJf}XnuRx!Ll&OcPyk7@V9_Hug(^CgP`*f)3W&fNVs?D4{Eo1odi#*%$^($s^RVssL z0IKyx(AGaD5Jq%48= zZKT)vZhJaEA19st=483eu|shD+BYIfu7_th3^ltrz{RrMqR>f5`jmNvq9k?I=C{zB+E{?cH|6|?@39_g;m>TdZ1M=RC0b=OS`WXV zh}GuRCi2d}zZPK2)MgnvAk;~5mcbtV4zzS3EdWEp++rO*p+A(jCoEW7lKch_o=30S zuId9p;_?BljZf1gMiQQ^76{gUC))@9#%C%>D<=-L0IpL#(j5K*E^H-AmV%o40rSLP zJoqB)K9ppof|7vs>jPbXWhEudLUg>9(tGoTw?{Hgm-yGgALf1E4p0fY?*d%GyTOXrC?9Dm10h83cVz_ropfLfFPLV9nkPm8N~uxX z`wgf*F~~fJF@mPmTQYEBvnxjT*k6Dh7%n!YWi{ZFgc&M0Ds#IVO>)c^nCVgf4QN*a zpW0f&r(>#--u+ROKRVgb`merM-zk6@{m4UfMze)`T8*!{gM5kB%LFTY=YK0FfS1zc z_>GvJo`3wUe@K#|=O|{w8_tN;|H`I7pwd=XZOb;n`Y8+kijW|6f*4tUAcGk7#c?{~ z{c3ybgpoR6Fe=n;{0AR^xyyUdSBB5l%~Jpz(%hFlk})>+fpwbNTJq!Lg&*`d3$mv^ z)$RZBChkDe%RWD!#HUDt)IN1G-VjDUEq_did}`7g_3w0k%oAHdoII*602f-aM^m91{oFQ;dq{oc_gD z_~6Lp>NncYzsT9`i6ThZ?MJnm{J?%_*lRCWJQeHE9S$BR%Xpam$B&N7HAHV`#r zmmS97k?b#d8R=)hx^~Vkr&T`#2_m2Wc5@k5+@SUjt)IPeoKiH&vemZFM0)$PRgO(V zZ%+B=|2cfUv5xQi_I`U~jPj{r({P%_*r*-=U*?D;_%N9WfVtVjp7KE1+b_og7PaDq zY5o76lG731a7jj{bZ8Iqx@4^&Cri6_!0|`YmYBGVime7<|0^_1G;BpE9w~2m$jO=+w7N}QK~?QJTEq8 zzPMU54HM?(Q3S=t?h!69k^s@;j~@*5$%;|p>jOsNhBDkl4Y}FTwuAY$;&gfAevWlz zunuaIAC5cE>Ai;K?;mEt&9!fjKGH17`USthalXw%Ry6?<@Le2zxu66%<~W-oJrCpj zMG?=;n*M^&s=>ojPX~$a$KXmz9UI11DgKo;FEw@mjr3Z7pGfI@E%+l6WOR4bx^(K< z!6>n8GdSzXq|=`AV!RMX5jDF0YhT`?j+uS-X`Hn$q!ep8r^lYX1+?G(IOHG`7`ZUv z>RM>*lsB&nTV(`EdD1^G{(k(`4_ffN+sLxfN;#;SdqEH#`~4{E;IE*PQYN||Yhwb; zrVuC9=Y4R37Ac)xvL=+E#QT-9L&3HgRhuXk@?ntPU0H?XeueATduR`=P+u991>L;e zi)sQqS7~eK3xXCt46?3F zIl50LHG2UYNbRv_oBaZxcPz5P-LIYHGNH_0i1~@8flJ8@d)$iWqk+fK<;Ce`y5}O3WmMH(6IVZQozeJkE2LTxi10K| z+fJTY>`#uPC*GKJ420yTxws6c%L!N?+F*M`kxf_;6DJ%#R578hK)${G^7D=pe*`v; zyDf8TSkd*OY2GxreE%VcJQ0l0n^Q_^Wwm3(x?dG@zu_|Qbq3&B--?I?7C=E)Us8m!{y!vkDGNs+_8_J##bpaS*vcifmEJ-CG68Sj0Sr8~ zx5TEbKoVX&eJLN&u!diGEr;cL!3g8vw!_Ppd0U50^rhM(KCn5jBwk_Qy#oxmH3ed% z?9v{*X=#&7Gc4(OJ_=62ILjpe=2^U;MZV9A6!0MOsGG~Se~WN-ErqoI-JTU56%&x@ zXWw6L(;iqP1)>_O#kxysR!&E`9wInhn&LKcy@D_Avpd8npN&qZ6Fig7)n^lPAb@X$ z`#?JDO6|v8CVCwltiAuiGXH%Ioy=Vcw2DeM1)wu+tq2zH1Eyc6cy|N7u~M@eI3&i# zyQHf}GWEy>7*DN2R*W%4J|!z29OKXiR_=xIy0ZIkGV7NQeFE`M-{J!pVs#s7Y{R*& z6lkxry1b_O4?5gD-cJ}m1>WCcveE3Dr+=H7N!vjL> zx|F0^(Ppc)B>e}B7?xN|GFJZH6&GEH1aYv*4x6IZ3C>7I<%)ILq*m%tiP9IOR-WQC|ISB8X#k zwBm8ZKb{m!4r(mArbGp3y%J5ZuScM8@S#eQ6$UQ5wa!VIJr!IWq0I z5Voq>B#I<6UVPCFtQ(i^Uw`9BtkyRVA+6<~*s5^wRID9Q*Yg8`hl%F8Y@RZCHW`>$ zT|M)&1gpOlNk6%7nWNp&K?1;%_~jeO6OB(V@PS8wpzq#8Am0-JTc7dteS|+&r^a?aQpN zr6N!v_t(zT>zg9xm3xH$dc~+OOnBqOeHzo?qT|r0cd)o1FQDXxOE*!`(8y*Y5f(Z+ z9O@T&ElKP8ZEt`7u#LuMZ7`_dcac%|aIwh`eByue*U)W_j($|r>mcRbS&6erY=o-P zalXLcd3hbznW~&Fj^2b*KkMqH+t~A={=x!TuSJ`+NoQhw{IuK=D-k5ux+wcq#OL=F1`WSe$uuRWLSI-N;PSYtL1+Oc1=b84^8c0`Hg%2|?_x-lY1EQQgWF>pn0!^0OCGAAe$>0T{ik&Xu zQ}RrTafl?mcTF;7Y6~W7lho%@?FM3I0HgAj8N`yVKl%vS=rX;qqeCCQ-bU3Vue8?j zf-xecZTBrq6CF{ZzOZ7L!i98Fy8Z{JEA}d26i+U9J-^ep^2ya~lZ*U< zx-%ilyRFU;V)~-uBl@aL>&jDp*&6uIqiM|dwB?zDS<5Y`+q`w&oq#oWDI!|67`l%c zTh^MOhvy%$5kr7t(q@boIp!8B2K{hYrue3sJ4Op49Bt`d810}0%r-00u#1Q|!AO3a z{;HO_C+~Fs!I|RFisiqoX_@903|&iO{j(nx^0(*gMfo7?+owX%CWbR4_G*c;|CP3s ze_*55M=WVJVZWP=kLkMKt)wZ*4PS`ilIt*A?xG)OzSz-9^3?LH7+6=SNYW{xPn^m! zRzea##^}19@`)aG%sBSgO0K3wAW&oOtfr&uHf;po%jjjDA$+3C{(H?O{dMjZCwJQ0 zUZn*rY!6YW>EslNZ{(kyxzTz2c{$;-@N&ZRw_g>euP}sh(5f6U%0wbgiJ3VTfBrJn zrb>mO<^mqzSly~HehXQnm{g@C&^a=0r@Sp41V3Fo$-OP25q4Be=}&ce zUb21^&!i^Pu`oq=ys3+Mbc6e)?^$}re%{bMP&#UGM< zM_ao&1$w90lhiy?Gt{)-pAGxI;AvC`n9cF{52!v2FG`V^wH=@gj;+qt^PEjvI_&RD zq$Rx;J!~05w1MFwBfISqw}&(0ty6Lf4#d)*o=TQUq?xqmID6`VJ`!t*!)_fl8AxdU zS@Rtt`k&d^SljO2GIp4kajYQIq?cFkQ;_8u`e=IKJCoy%in6H97&W70t;NiJT{Hdq z-HK=Qd`-oJ_WYcZ&qCzPLRFkB&##V!W8}>Ce;S9H5ixfySDV-Dhqw)nGG2B{)I5;8L)od4GjR=%;5>GByq{16oB7;U#7qwB{OiJonhU=Gu%`FcZj|A4BNO*R-@B8|Af5{59i+Y}p2 z+(d?QiECGH)yZ&u3;YVRD5#CT7PI*i6y0A&FnGRm->O2;CwS-?_%9~f&#^G6=xh!Y z1ozj{I9+_e=qRhH#T?u}eC54L(K^F-{+F!aI|o`%E;X7~>(LUscbRkYg%_JFI9>AE z3x0Yg3O+*QynR+*@AgpxKc-i-ZIN`H|?KtmGyZf=mX{UIh9#$`n1=yk44SpK5Au@Q7E z#wdsBtz+9VtIpkC-=uxLw|}=6(Z&9*8D9H~Eywhw9M`=-N*MAS#J(499~7YZRJUDc zW`-3Oo1;ENYSnUhXr7wzc-Ya@p?6jWz@$Yfni^&kH|0b4c){AZAjr_0U{{03JpKLX zoPOONJ0`U%T5aonl}5x(PmLLVwk-zR4a<;0aZh+2>+mg&XZMOpZ34yo{pJgQ;52RZ zDp1@`qEKD0Rh4OhUGFy1RdEKdw3tj$hRK29YWeLkPehy_3?U|_fzlO~-mYD@>eD>k zv980m%p?caj&P5ErGnHR!geP*lTf3(hmLF25H)e9?1=SrkE^G4rOG)x>Zf0FWb(AP zi=S0fcI4$n#mBH^*2yKyaA=o2b2FbJ|JW4b2hlZ4;B1b-2?$ORGZme#C>r zq`LIcM?=`R1w4G7ePw@pyxR=gnibY4zZY{6QS0C5#6yDSQRwwkRr(9Fz0ym&PbSo* zgNPo<`rnK0g6QK3SFYm}KB?(R@Hu+T#|txCqw^IL{&kZ$y-mF@$pPu5O2SOjU?>rWy?!u+C6NpEeQJ`t zYl5||wxW?pvx1k0aMzye)0JHOD0IKu%7D&Htvy*&26)OF_ZWvB3n@YAIMZPd^R*66 zQsr~>2PJx8ntm{JZ*~9qkXd1QBVENdW8t(chyu_dWs);)sb%9%7Ksk$*=IBD$? z;! zMHCrsZIUSuJ8%Wx3dwF@0)VC-(*54Kv|2DnY@RA|7Ogq+_L#S}ZumfO666Wao%q2- zL-Yz}!)l2Pl8zel86YM>cGdJ(&vYPhYCJ3983H3mJ9s+<r5D=eEGc(f7Tg&J zTQKddXs6{`QR~idmO^*>X@}-}VAPwqs^$<-0G~I(=SmBi`M~e7s&76jl((!tAIy!* ziw_SclXb@$MQxVEuONrxx{UpwIsM!_8#O~7Bery1lpjrtY}~?<;;Y<>d2d%|ftLtE z66v10B+JPl9lc$G$M>+rFCsMAkw7Q~{+m$pe}xswo7htzn=^~k7h>i8BX6thw>`sq z&qdc+R!-;Q_$&FUfd9;gm<|psqK3E<$WLcauG#ag%_`{&psbGo69x=|IdHv8f^?ev zP>B9Eq{EpLkIt}EsRc_ob2kA#`$15gqVe(aw zQK7l^;YzARTIgTo;097{EwNs}EFVpQoPRk7xhFjf*=<)9HoFA8f!#9J@{)BHYby}6 zL3@aboGRdHBpWiv!SzZ?nIZ)yNlD>$8nOi|ZVY)9|9!&aGxuDaISM~`@EH#6et>nJ zSud*B9zR)WCZR)TSJ~Ow9f0tEt&=Tj^!3U``uIIH9s5c@Ixl~IFx%9)8n{hAZY8wr zGhOa5s{m9g;iZxY2QZ1Ng=1GNt=avy>&3QcpAfcxt@w=%om0yXp@Z*C_feaDUz`Ui z)buj2M`AFU7&m7I?ZX`>VFo}_5!%?1DDA7VuxR`&U3<{fecyiHD$naNEaeIq75NEY zxrCA+=*oB7zBo)K8t5mp#{Xf`sE4*6u2=MpQeBKMPJbD4hofZkAc zZKrjP=5VvmHYY==!f z50}&k^_X<7I~fzRYRQ;j;x`=M3Zn5*7Cqpy*1aM`Dhk|cx&e5ne-z`ubPQ?YQGEU@ zIv@PTKjF!&Tx9*fxd0gqy9VX=O0>7JW-cQA#yDrhM|zO!UB~aw|5>4fEkgKRA?2LHCQFTkVhPD_Ri!L^Y#~YK-2j#{-*iSEq)JRQMYd@LP@VcUqIuY-ML!$9pZEq3?i;RoG7UMEpeY&@Z#dFU6T}S+`cR! zc6!^Doq~aj4Ti`XB1G9 ze(5YqzPTw*uS+0=>f{|HHqp^;$ZjjZkUn+q(K`rxG6X}Qtnv9HOSq>i&s32Pnc3(c zy}A8Mx%{m9BbfnL>EZSZt#MJ*xseIJlGkwo^|vD%h1bJ>tp|PHuDkIX5>U}Xyhb8^ z?q6xYyix!?TaLHcJ&6oZFLx4M6Uagg$rl8JI{t?Rw&WDc@uF|fR~3eH^{B)z&WW5X zXIRwu@9NGe4NtOdGYH+Fh=^EPgY1rW+4l*u!FkFX>c!7L@@2gByj56OcrtV0)uv&R z$X<^wHeqmc-2P$_ult2j;yM#6>$UpxJ1&`e>JU8$W_WnFD&Pdpb3I-b+}I-^)V^ctV)51b=nYbe-|eL@(nnz}s-hnig8 zt%`LgX4YsPyF;mT>C6w)ZHV7LoZr=*eJ~Klk2g~>v7wK_Supl z4rxJA&xyA`u`Y?xoXCwXn-2kZVSQcYdd)qV%d-o77D1wHgx(N4C(r}#9~jyezM>mu zb4VNwdqr7S;lb`lOwHIoGCwt%vDs^1|oyduRV z3G7#nw1j8Z3vgQRKT>PEr58p_idTjJ~N&l}Rxl;7TtmWqTevpr-9 zcl8r0c@dB%5p5ZWB{z`m~yP@K7z|d+(v7;lo#~dANhLw|0}Qnh$G2qH8hB z5~kW0-y&@!m0D#ju3ApUa7MgB!sZF|EVslDa398M%q;4lXKT3yjMn;Y#~TQFeoJWX z=%dBJ2e#yHsA=c#hrN#m(v_T*bCd~r>W>KcJ*!S5<55jyQd2!#>dyo&-V{9#VAdri z^&r1{?zqGewTu30(;07U%lo_3ooYB2p6zjYsYh^#(b}bIW^z5UeW@j6qK?P8D@wK; zJ$f7KMiTjxReblJm?rj#ac?X)g9&G{Iz5e;&X-Yv(kKDRc?AL6Vix^4L5!5LNFJoh zX^XI4{JKqDH335QTd*vRKzGbzf0~LYn;KtLfpX}@CC}d}r@a-kpD#LMm`cnCC?#(* zAO)u9dz1C~#yS+54c(i+iuv56;Fvv(;z`v@e!2I?YCSZ>1zRex$n?lt@*9Eum-ep% zsV%2=dGYkEAt9l!YHFVESCDMmJZF#SSlqOr=nDzm-KwohSxD3gLn0ZM_LAYa0Je;`?a`abuuB}wzo|_ULJ^~$pZpH< zUwLSYjKa^5cYn>3i`e+ix?r#ZI|m!5F2|e4ALm|E%`d6Nq-YF9+fhV@B8tb6GxN-Y zliPV=?HB0UWD0jVGS2R*;|eMrAxDYvisvOzh=d|CsbOk=E&kg9|;-8u5xy%n*b9Mi%zB zY_t6j*;X(jY>I;Mv()+=YMEQp+;Le%!^Jf=bE4ABlH#eEj7KJKC+*}cO_oYD=YVn-5S2^AjF zdy_W3fsq&L$vh{M`blQ)XirqR_R@oljO>@^G3#)?F3FIIhDZb+4a# z^#=+~^zgM<>e!Qyz14fmrrT7)d&f|+TwVf9=M6>&3rv~fTf%@6ixq2;a+mB4_t7Ew zP8AAHU9EtMilV(o@%k#^E|&5K3dL*bcKva=Gy>t_G9E1W>Cd?NJMnSu5sI=_6qg9y_TIBQYBXB?G$p2I*-^ATeWluLWaqJ zM+y=!VnZW_y$8~MjBiAq=X5N$*beOEU59OXOgk`TIzIf zdH3m9sSJ+?@b>mhCY`)EX5Mi2u{4sgyPyLFM)EyY_=XGYU)SC6Tq-r%8>pUvVlhL zDzzZrh5FE3PjyzogsDCG;X>QKb#Om|Wd-N=TyN`?V`%%!$y!LWl#6&OS=q*Pl1jE> z`n}ZPDMh)C)LvrS-`AN}LfAzgMC6E;xTrcU#9!oV94Z=BdhE`KY5=aetjNXrKCf~Q zAxn6mMxhw1H1m3_`4EI9yi(coR|=EY*@mrsh|Bk~8J3gs0`k(&Z3w7LzkgMB3!XD7m^O8M^pMhNUKe-~q%=oD#Re7Gt z8b)wI$r*$8Sjao?EpNTnFkcwe6kkE93;qXz(2P4t+>Fa*YYS&Jb+T+Ng!_~C@AYVf z93H}ZmdMEm_S&=_FC)K#{*L%Xy(S_!0Z=FY`xj&C8KiKew-Z6NR=DkLOh&U?%V_h6V0$2+!5dvcCu;8 zVKH%?z4c>;bV0xMiPxHK<$8DEJ>?c#GeaL1Dds21EvexGr8RdXwi!Z!8BZKcbFthX z2r$@3tfZW2A53}FVEQnMfq@8hS%_+1fc>X$2)s;BMc?4>~eg#jzJDDHI^t*+&7y6ZB#fRIHZk^@Hg zS`#C#^pl9IMTaPFX6lF&CRq>ICu#mI8qmw&M%0 zQ~0SPx)e5R@;bU3W89d0$;dE$s*E6+tDb~rs5=!^#b8%wrm+<1>HU@jhPbBBtk3XS zkIzFS=j}#3RSni%OFC^Q0v2#rOgPb85waTjD99ik`t-W8k=Ku4u5eBycbUXzllc*8Xb_XV*KW#-Ao?XjG~pwT@Y57xGkg z&~aAj(##6mzA;J7?G~d;1!9jONaLP3KPxTg_v1u7opr6cFZ3_I4>E^8P52!RGBR!n|Q}a?yxTisR?;W0-|D%HDkjNus1~Ul#eD{?9CfOKRGF zNym=O1F7tGHdMRU(mp}S1mT(_#ghn+BQMM!|qmow473fFRXGIwO%clujOjNC-x1j4~1|T*rF*)KEn0`+iriEi#sYj9TUTAovs9wC@w=iZdn=6`@6l^HVIa1~&>^U@7~#I&{(@ z=mY)}Z*RL+g@%s5XuW&Ua!_TRsp^6{SR53G$lXA^##FNd@ zFz=93qW#-`q&Db058 z$@^UwtvPvHPGpOiRtC zA3)uLfj(UA+dKskfz_Jy(E?fXEWFkAf$f;}h@E!vCybi`%&Kkv^~OPxRV{1uOk~;a z{rRR2hbn&t4#kwS6{&$CBc$QC`S2VAuU zEr4dbR6FG}?h;$Q%&M#YBV_s!>V*Nh3IWWxBAz1^vfNP3a#JRTmqak;!WpH7wvgY| zYVb;_qhJkr2qUAe*fr%TY4C_GmhPn1(h%zxPEM5c_k`~0w+^S5-k(ni;tBU?HRmaO zSvW?%rgz~S8VO^fcY7yh{nV+)<@62ft{S#{#!bx{trG|3ShIa?&l^~p_uxUFg_X^7 zh??-VMWl;s12WQ73DJC)1@2PV8>;o> zY|eJ9&hdH+{SzRTueRXKM+0U9dv6Zbh9u~<+FM!2cr&D5KH1M6Zi1N)%B`HQurRw6`1Zi4}(lG@|XPfhbl=f7MN39ILsN-;@_z~}{p z3`>U4dk>_xb;fYtEhm%O7*Wv{XAL+?n*!trl|@7a#*<em>8|#W4K0w}0k+(z_5j zb@6&gV-Xa+(i6`v@SDQsE-wVxqL-2UP3$U_o*nW$w`@!@ecr6|9`^Sbfp;Jc)$Sjy zSx}-9dUbqloW0NXV?hg9=F$ux+n6RmPHE$iBjppkhq{uTK zaB>EbbxzIQDV*SCz)=)FspLcu>^&q6j*b7F!aP{A8e#kA_XqZOmlM^>k^Yh1B&Y;h#8jUy#ms0JD9jds z^>3lK03|UqHvVJ1l8?6DQ3>;oN3VX@SMFJzUW4uWTUBdKc8sKoV^7HG?~7dnMV|6V z!THx$miyO-por1kU={+ zvC5^TkWv8wXwKNR?(OD?ORjf2fRlSVE)`&y^y4;2=Bc;?_0l#X<(hC4&C)PLb{M^{sDwk}KwJiKIY|*8LHP2ph8b6=j0PpWM|o zjP$g)zgpIdnz1`4H)0a7hZ6$g!3h49e35zAUQIMdkNYxeB4KSf?&f~KxvsOSNT4$! zvc2$u>)k)iLuA>P=mU2RywzQmzX^f`YQX--0@Hw_p^A4jdbk6W6>ou65&2e*7dh>d zBOcsh5WHe#T}P}SwyU;9_1L0~_NQ)Xo8_PUTprasKXox;TQzy)b(kaJQzzy^H}m$?vLtqqhTz)PSVW#D+J)nEk{#tGO4dz<9AcL z$b2}FmeOu_dKDpp?Grgk8+Bt82uTr_I*lqvzqPz|_`((*24l6t16ZYjtBGe~<3zB^^1+Y%O!N@h z!#n)rsx&iQk)cvBNGCPq^zcL?6(GK^WI~E`tH)HnRM{&$uJ0=>D`H#jrIr03Pf>u3 zI}R&B#$T)EIk6*$yS}ClWO2Q$AnUBmei5)pYmw`=H_@7}GUZ_Q^>lFRFfIg-V8Q6T}kRi>776}|T<|+MyMKw-^78C+H6Cb=BJ0o>% zj~K?Ti@G_sADHp(oX@AbT`hP~BEn4p`y9gP^3Nlk=;X8m45>6qC(@ycoD89FBmxX? zmv^1NqNZk1d{{F4aJ@80hc8Q*>gxVFtwq5>WLVgz-bw!Nmf9M$VjlO@o|$e${m|l< zqmPOHp;8i_A-90@75)XhYuoogVCy1!x9#JQ9K82JI#pGQvFt z+_8gfa)mcR$|0W=Q9>si9jL*({E<)5e|5o@8uGX|5J2%iP?Yk24n;?=aO_xk)%nc*BW6!7frxL76h&R#vzfLdt|9n+W?)X(j(@%{l zU4QbVu%ojxx>-&}rrGH5ekGl^(ZYhQ8MQPE&7P(gJMtlNLx_|exdF*lt&W75FEtOC zDn~!i4p^L0{tmSZEmaY9-PA{MSXY%apRa|pA1=^}**z3;UiCTMPiou`ih19hQEKX8 zq;#-_B0qN849+y^ZQan=A7%hEg7zwu9}x2U&EgFX-c&pXU;ewP;6a97=#(2bt4sdy z`5gZU*o!6Fs@l6dRmSl;e_?2eLoVQzl^W`Ay4 z8Z)h^oAU0T5kf?Jx=`gWCbEBtM(6^p1h^b{i5t;{q-SQDWcey5o6avREQCiyL?v9- zXBo7q#~t`eri%L)PIk$=ywjl^LM(3#f#i(-Oscu$MNPe`Dv)RCZgehYczWK| zX5#qX)^;~bDYb5-w@8$PeAX4Ez1P`KD?WlZ=8>>7skDld=MI~rS2t~Jjse}5kSYO= zJC#OXR)S=El@+s!v0VK;@Pkg!Zt+>Fkw(5L`0x(p=UE)_2JN9Ev23BqF2x@Dr@NVnP7^EUbT8Rbe?S%act_jPOQA)S zSGAO)8h%K8T}w+#3l0e79^*WprklY^=PN#o_+9szQ4@~CLZOF*N{6DKzU{qVYK ziLxVj#Y!g_{*;x!>}~g{eR6;n7rlLV@KhJGH2B-iCP>2-{TB-v+jYWkO!$}Bm&oPG zda07D0((X$ZSuBIxIH-FO7(!w(=q#uazBa@1G z8yge>3S#1;p?`Vf&sgl^Y{NJ3NA(qJK>O5FUS2wc4<1T(rB>!{vm-?kJZ?{u5$IM+ zm0i(%$Fyj|r%*bvk5`l72pAzD;VB}|$k;faORw(yL^_JYj0r&i1wRuiAEzM3Yx;Qp z=9Sy@BI;Tq%ar8glje<6;2i1jWx7aMnY^7-nEWTQfC%p^J$H5{WQznfGe=qf+ogp< z$@U)pDJ&J>P>tk7)G4puctQ^zYpW_00}=y*?!h`_9Z^!*sRjmJB}vKUCgJ3qe_xQx z4I#--WSxSe8=Z+)YMdf09Vt8e%~Q-vSMZAWS{D+<$)-y0h@>$#$uOx?;4Vy1cTLL^ zxk(CcZGFmE4LDp*NTcdd^5;$)>W_B6X<1rYu1~d3B}u;V^F&lC0NoW7>lB@0WC{No z(f=oduhKIcDKb5z~&Xs5WytXOHcv}j-M3^ z5%CFS9iG?s{8U3$Iq#?@S`WZFOL&;%d|_!)Z54yK*Zhe^XJ;ol89BMm|LY>GTL@vX z%=Gp_A(gH#(FWG4f6LeZ!`@qlRk?Nh!-5zf5(^NJhD8ZTgEYbd7M%hTQc5Tx-6^%` zMM_I5AqWVffS@!;N~d&-bi+Gu-Fv^!Ip;a&T`b@~Ell?oAH zWNWZ1&O(Wh^6~}lzkb}`dO6NyLT6HsuQdlRuV%66?acW2-O9S;NUt>m z6!8(|Jwgm{BUk)r2<$g!?dW!t^>LSR+c-SwhvmKw@#RJWcObW^X&*@tkEE983$dcW z0G@#56$v`>v~xHdB)`P#xV*=3U)StE_LYmG+-j-@>OKxBMcw}4?cPs&Q4Wr|!7vgw zrC$NRSz^116)PS&)y6AFj*x82jgOD-D=T|4&YpImG};{gHSRYvi@r+(rvyCw{dpD4 zc%;W-jiSJaj%CE3d4EjqdC@9KnpRh^J&vS+c91YqlHa1B#aP!Qgn-RvuRrfqJ%$VPIfEkW*L zZ#6Inu`RpEimpXlM3rM&I>Tt%Rs`y9puN$M5OD3|@G) zeMg(ld99BGC@v8f+zN~CAUEPn8W@)%5V~3*vv2hA>7qY9$;*3E8yiC)m<2RLM#68! zXCAF0wyH_Lf@YRoxr9L%7eyr^@CiUn+W8HgDIBjRAF-t2rKj-Mjx#OiK$MaI@0l+2)d969E8EiP4pfExoG7-1 z5=bPfeJ{mhjP(&RYZCa)qCU&LS$$8;I;-NMKXTmBV}fLPRFq`Y3!;?DH5eD9I4C7v zKRcl4rI_?|i32VOP1$X$?qYY{+GY3GjV8W#!9f#$LVyG6@U(ub4+z?#C@(Kh6c-!I z5{;9Ui*Oii&we=r*qxz=@(CFh9_2kXF@8p8r_sam4b13|dHERzzfY35<-KVF7q%LG z5O&lck~aDhW30F)9mxCWyILchwD`&$%Lyqc!ol#HLoe~ixfZWX>WY3Goa~VkFg%C? z58I>Swdk-X=QHHC%PN!ZXd%){pPq` zR>gK(pvBwUBP{jd$J;9_qdU8QtwLi3Rv>ld<2K7{xl-Fva&mG`CMG78T+ut;cQok} zy4!9tvY;noWTL(hVlqF`7~St-*CihJH8z4XGe7gir4siVG1~j8m&Zfg|E)aPP9xwte|iOKrG3fxe; z;I`jWwGxAm_}kR_C*5Y6jl0huBq3)%x9-x4P5J2}?xW_nb}oShzW+U#`PmA;M_ATsCkZWtGo;=MGM$ zZym)TXGzY|C9=v`@L0T3I9otA!a?SCI29ISY|Tz*AKK3ZJRYoGW{d^6R)#>l#^nlF zgxGf^D%WC4D>?-xzlXa*LBkmP`6Dk3WRVCJ*rhS4X@O$&hu0W~#v|_{=|c$A!Al66 zX$oY7rEK3qXuFtWKxJxV`N#kjJTlo*>qJg&{53<#Mrt(1lko+Rx4#txsO!H z2(b}?U>S&a72gRULA@T)EdL5p6=JUeO)}gy#h#WRG{mHjTjWr=c0VH!DyYYSu+A92 zYhY9EwC#7vKn?pwY#SXS9(v7I>gs8c-NBZ9HLUsCzT zx-Kg^ZDGU7E*_~uWZ?^c#RA?gL)7>V2as@b0pC_J$e}#nVFqZ*PP>KXL>QdeN{|20X^6f zfqz-`AI7zM7z2~-Xy=$Q!mpEwC5Oey4&Hc{FjjVK9VlsgxC;PP)GQz-%#|8*i#A$IF;@5SRSlQzurVhBe zWWd4Cext>J#b8BbC`Go>^=15iCs)ExW*|c6l#YS>uy@C`6jW4^-bOzWk&3Hh6!-L* zF<|e2ti&!LM~$idk&3w-H@QYO8xayeb}+;*sbY1)a}LCmSQueTm#||bvHyBEuy*`^ zSTAwIBMAIlho{RvR&oW9L&2g6HUsS*rfz2&dUoj!s`M;|EpGdXMwcr-4gdCI8Mho) zUyc%lgKw0O6pRZ2C`Z6_D-7^+?saCr$!CA>A8t$z4z^RRJWC2oK(ImYuopgSeA?!( zDn68WvNd9#A@E$xyjl*@_I4lF`7#^%RZ5Xql4N~>+zR_W7E9UY5&A;?V z^M}Yb*dqO~ih+%IOd?;ELyrMcF`R7i$o;Y>op3OIM*;q}e__-Z#4f2GcoX!PL*VBl zJ4Ya=9KPLXj%<(zr>oL$ZlnVHk%8Rr&qtIeaRM6JfYY&xyQ6wd0X4s}>-g&y;O_EE z^r^HMNf4jQ(+fptUT^zQaYT59X1d$Fbr<~k#hr0D#!bMhRxkPRgOyP8UveGW5@CQ7 zo15allz5M;7u}|@sNhq#j=-XXwBV)3E`)@bnF37&zU;RQ&R8|Va2l4qRS^NhuQV0l zH)ER!B)S={9sV#0tuht7PiZ8VD> z3vdq$ti-_tAcK=&R}q+KI^*5P3Mk^_(|5xL5Hn-LjUk6Y$fsBt(-u%}KHu7bJ&Shnuj8AS$DCdp=J}Hh7?j!>K$4a$NR~Z5tZ7 zWBgu2vU_Ke(wYDRaAu$^s!2!^^WT3QxVdfgt&*5S&}jrS==ViKA3T#?RB8el8C;8f zDa;NcE)O0MxQQo};Kjh&2Unb%e>5qN2CGWk^Dr<0%6Gf zEN|1P1-w+`I!c~v9fK3#06A5&EGtgEPFA;R|NZ4#${UQa34Eisuq~AumfHcSYz|&S z>jYFXyl+AJ6&81r@iuR3bfr8kF*wz%Uekwy&|b}&UzR>~x{~4TLnn*pOJ-MlZtl-C zZL}wVMvjafZ`QLi_omZ=-o8pnj774qz zPcli?ZQB>HcCI_O;25rd&eP#QRL$Ed9$9D+x}_fQGWGJj1r!2HvH*%nWi^-E(i`u7 zZVltUf#TcR+B)0{$?Scr*mKHM^q@5O=!V7Iu#qntEn0Z$I|cwlWf`7SS$b>g1IMsK z7&uB0-ZM8p-()+t;PA*-B1ije%FBE1qT01pu$_!Y#xm8xW5DMKLg7IP|J^4W)-hA-9koCy|c6+{3OSHerJoCT?5@;|5lcaStRlmy8Rn=A`l zqvyoqN9u*oi)r7B%RQWr?)dhU0yvJS&>ri=_dK)Dh)=)1-uSiLBsX7A!B@<@HaVPA zifh&+jo(3{00P!3dUj14U>(1M|ML z-Q_V6nlv;cxUuO$i3T}-v=?HC$VfGlo8)BQC%7OklRYMI=)?PXUy_Z%I?nT>6B?~z zT|(X^rPho|Pi~u4r-|`B>ZZiV<^cC_1*MEWhpcaxDo&+V!k@@DtO4Gt6~A07;sf-O zHUSPYmpRi7$E+pl>&6Eczr#88dW<@5-=Dk$_4SuM0VY%)YGHWfWB-v@nZ|23>U7lq z?AospkWK#4aR10txSvKL7ir;l7Uu_4G^A08mj8CuLu`$B1W$Qj-8%%V z4N>>`vd7$z{!bRk=e=iV>IE8%5$=cc!RfElwF!lUd;owR8$wnQJ$&d8eZ{_SylRqz zfGw#5m>HV-3x5-7+1ZJmZn30R5UqU^`w>g3Gr)_sX3ssg-+IiiNfMnRyTu4Egd_>9#g zC?$L-A^fY2-gNLPf8l~+?z57*+v%GdTE>j!BVL{WSZnFIV?*HkCRupH{v&gLAA{VE zbPa&UOBQowaC=w%FXDIQ{Asn#u=zlNx&%9|j69q{apZ<#E^qfes_z!!{;GnuU(+7$ zsGt^R74OE97DbkP#*vpt9sW8Se7Swd2g*6sev~kh&IGoQfdQ>i*`iea*`a-#zO$)E zS2|FG3U6&~{WB(y+Z;f;b>y=r(Nmq$koEP6v-Air7IcJ~DLL$2FtF|Xl%rujkSWmR zE;mLwo%?n-Ix3QyY-V_qjcocDxVSfZq2N9ic9Z4G;vKh6kKE2emK~=r9bHRVGSY?X zoJ7$akbS;5zcx_(kSba^!;i72&W|*)*7ASTg?_(J8mIP4UM}N$kl@fQ-@MZwXAc}C zavRqC!#jI@&mF~s@#>8py~M#Lu7$CJNb~I4e)C{tY3yKgen$PpIdfC_=?{lVkBM-M z%O|tFS<*+7@v2IQP71qrU!q7fxoKi1T3k063rU*6~cLml1L^btrq(nM1`L_cSXcq;v3V9J84J;h`IAx~+8|B?%kT92kHdVL>Vu zoxnEro?fdF=pAy|<^cE)+rRWq#y-X<fi^U0erk8PHyFq?s zE3;onh#fGnjiCyZ!hf7QK>Q^?0kGcp@(C7~`PuG=#Ue4ytrSP3(MbC(d$QvnXVqCp zdk&k~@89!T>t0~zD|_RBu97bgbc|3B9rMjLQuf@ezs|j^F&2o8WBUOb0KUd)W`0d` z=iNGfW@o%Mv~jc$EY4+Md&~;ZL1UzzEXrhp*dng$I97M#TU2Yet7VE(e@%&Oh78=j z5qAkYO#u`SD!vwhC4GC6@w=+QgfR@fTAl?3_;9X4r$6w_lLb_-7^Yxt5l3)c)u)u8 zi}u)E4gP$#DedIM_f!YpZf=`P%9I55oC5?7!}*Dq*@7UO7Qp`ByR?zO7v+&EIOGXB zx-#Dfa$>YhOt*xDMz{G}dc4pSk+Vw|_Akw+J17$&hyHOns z`II{JADI^P@B*P=*8PqpNN=y;{Ti<}VJNZcC*$VkKDufCbWRNIJxG?PVoMvjFTj7OvggeXW0qdx0sY~&%1U>9nZ}-v^0sso0f+&^2;5vK z>>(eFp88ETC^oRBkOj+NszBZnzX##7TuQsDwC?irGj;lvOz98N4TU>n;ic?fpcW&F zlq$bz-|f+S&>7VPkZgT#6;-W|ox<0i-)iNgje}bEa;X(%8a;GA+OFr_Y-`&b>>vBl zBTxGhl8G0;71Gw7%>EW-l-6y!^E50`Dd0yBEXx#w_Wp;-%n^)a zZG(s7RnbeA->=p(!TX_}&$-s<&eji2%&e@0Y|}nBp{~wb-#ld%a`h^onXc#Lpg~eO zIXRh3VxQZ3viv51AqBL)R%-fGxu#x!-#g96<4FXKAU1`>5wUnCK0vGz3=7uasf*K8 z*-l1<$cYE6uUqqdI=&M@enLlCCks3#11!xr|oUNKjV75Z2WO_kF)+X zOr4?iM`r!S{bAd5`z=P&EWN#_y7jh~^Ho#H_eI}@8I54D81%JmJ_u^Gu*3mDsRkD- zZaX1#%#_%&jVdf?KBe2zOc)|iCgyfsD8ELO;0>3@{gXBz+b2?QOP2m%iJuOAi^G8Z zih5j2SMCoh;OlA?X_F*r)sZH>a_Jg%s%L7NYPStbNe03$*!MGF=`!+x0qdV$8%`&p)iMw4$d)1oo%SMth zJkbPg7=5peI1PL^A~o*R9n9!2yXjbXopiY_kJa6P9QDh`c!?YP8`Bi7RrUHEjJz~O zhsmh>gP&x5N(*m`%xS~{Sxa#@(b@;hJ8k9*_t*y#VKGIrO)2+dr|NZNfgf{xIQt zk?}_G11OWuihiW`nfT`FBi3Yvt@p+PB{lgUL7k0;CK_n*qY61@(ST{66RIL{gGil6 zhQXbyg0a)326;RJwvWP@bf*2-N@^+pc2P1J;c(-VpUQ6x>!^QzI#J=P`>6-~^>L^3 z;#mt}f-4kb7pF@B71p5R&>Tg(48Uh>5)c?~?Q3~{}Ut5>id zHupHuhVj!jO(#vS=O8rLIX{I+qjEj3lKWOe<~?xy^Z1%N{YSAzN*Zi3C^zyHfu~MS z9@uS4NAyD>HwIl6(1=wWON)Wp1>@{Z_QnoCcp>$X$MTqncbl>QVEWAO0Zn~~zTL;m zP+-+9x`SK%@WP}3^Z|*6p(4LDGPJglC=oG5#(7e|edIX(q3drfK;>0MBd=RJ0=2Rl zMOq(W;*;enC5TV!+rc1)a@<`L`$e2hwYlQ=$$9O^7di%|?^R)$E0V;|Xm#i}WGcg- zvfUzY>;t8W*zQrYb#o|B!2LZWbqxrWPz@yocA(_%JOL}#8}_F{P>K&-em@*rm+?L( z%xWph@a6shF`uO_4d_m80c{TgM)YSpJwEIY_t{HqoGzDFRQzh_{jxkX_sBr6i0*uI z`a)-2Avd`qv+3ugXPH~yj>AI#`bXYh=QT8@LlHvTTl{okRd&;;lMq})^dnI3LNb?^ zL#|AlSi8d+BV^Qu`5O=xYd5_wFqZ z&OvP&kgh#)d%isH@C+pM6{Gf$4NriQG)PoS!V7`5=b@bY-hoWH1H<~YYgx|WF0op_ z=B%St(?dJ&KJ#moi!$!WwOW>VhYu7r_u`B_0Lw!yRMBryb@BTfXSmO_eIr_#R2zO} z;nUI4QA-C;MuW<}oBe1fneaNs`_Bb8&yUwOPd`*NH@DL9^OF#@CiyYR~ciuRoF>x zgFH~LTiJVikkx58-`w=CF7E;NWknjpi-@y0FW2T;*VTRfFa3y};(Su%J_R zx-i_kKP0d`_Lg_-y{#R0-fZgk^i0E7favsV8z4Deo9-(jr;2*aJlXa^87kRxVZziv z(j*pUWlWFn|VFofu;fbA(+yefP2i)(nMyKn3d!NmcTjU^%yHIfiAYG`~z zgWg@_!X*lLcPgLIcBwGdZ6RYU_$w^Hbd3ECw7_4u#>Xs)ZF<*#UWZQtI6^yNmElaF zewb`R3Z4OQz&-#6yzOpG3@3p0NvMi(nM=}-4UH>liVlT=T@OK+*+i+aorB;Je4#7yS5|CP4dgWeO1Qop zRG=0j2;=-X0R61LbjWgm1wH0g6R-fXLg0vOj|M<`T+@v|&xbz&w`!q?aX8L8KvP!| zudEVg6_o7+Fn~(nU&J;`j;4Wwxc`lg5!xSbXysqeCxRb(3*pkAPfM z`>kT@0aLKkTbG|WCK6po#sHW_=lH(Di@$(cI>FB@(Cu3xM*t#Ht-|mrb-RA*bG{pNPdvsQ(YgZ{0VMTqSOJ%Cg78!H-ywq5&OG{ga4uv1V2p$lAy2)nh*XJA-Q|Jz52@3&l|vmtug#+;;gSr~rSBs*r%GfwJZA1o)Nf&p`?r3p-H7 z_^>T&c_NQ!vB&c&0Ja3E3cQt>V@u6;tb-xsjKu<@;K7Brza~Gqaz$%o3B7Eadp|?Ei z52lf?R`y{hH~2wR*1ncpJTgP!L(Yplgah%1xpaWWib|u3^-BTt8u)f2CmY&31{*eU zB2v4mt7;u8wbSTxegFlUh4pa}7b zTHf%0r6*KqdRaqQ9_76^tl&gqK5rG=Mei~eX@lbO(}fp(F~H&evzbN^vk+h9)28#M z1#9$B0ZLqKC*NZL%3mX=4_VN=U4|?GXAmR>UZO2gxtQP=XL|p2fCP zI0sRV%SeF(Dl|89=ruNZxrS=Jy8i+M!Z^ z)NEBT@1HUtM4U7{7YJYxUaSX{dqD!etTbj6D116l3W?yp0Q!imS2qX?TGfgWRd#mH zuhFC$fWQ#;!1GgQgYYTs@2lxq6yQ3!Wdrxs=o7+1uOJ&H0YN9yjXp#~!P+^J=kL*j zDk7`KeAgZ*mHxJYpj4XjEEH5Q0L5UhUl@Yt{{i^^Pv;o2yllCC;sAs#V?|TosRI0o zW{$UUJaX)HZ|@}lr7$t2gFWX2=W?((q|A_maL^PGN<;$n9fsw+1N2|AMcXYEaJo$o z|29YeCm$yegKw#;>HzwiLFYY}gXkA@%>sxCVEYmoF-Spl2a5lO_WP`dz!`!vWDpqt@&)Jlir?2Q)#P?|w3guqwu+UNO?wS}V z=m5IAt5>wcVe%g$xTiu1ueaqK9v+@6W_ZrHEqRJGGyMiksng3?E0oO%aBQjeqn_vjx(C^jgWz_wU~=G?{_~Qd!Ybb9v9KHthVIuk=*!(W&WDbLdsy+b^v@ zZyBEUzN(O`xx-SeRrgLCg?)>ZbKJ#77GN>=lCMYbWdkvx56euifiN@~@&I%DNA$JI z5wPJhi!^)TPY3IFE-aOjAA8Aa(vw@{IRYGGdPVDq4Wn9`D2|f?N{i12_)f3$EGPg; ztXGAehl7upG5`wHM6$* zEBP2>OOnkd5{bC(L_^?h_DnD9cUpjGdGw|jFc#ne#byxYDkcBM2n-Z^6l7dB9#8V@V|d>l2U6XQraK4gw^Nwl-aVEC^%M?dodv<6rWiQ~5@;P2gzvX+s?oaq3j5ES|W^psAM-YQcHkM=7><1|06n%4*% zBFdr!NQ2fQvX$a(j)1Y9wwBybgkm%kT$Tgi1e2#K2i6z?F32kBd~YMDuOa1^m!#K3qxt^?of zfb39Y9DrC0Zm+MX>v8<1n5eg;jO8!LZ|bo%lm;5OM+-Qre>PgKO7Ct6K$QRb$pEbN zKcB==aUBjppyrQ>9sLBrC(Fs-DR+V9IIN*L(8M>&iLSi^;M%qJ4q1@2i191bED%Cn zBCqjSWUd0A7D-PQTm{Ipt3(A33Bp=|jl*MyAA@IBZ&@T8SlxdkV;h_f z46Xy;KvC$LH8-GAxWDiK@Jyv&i4B20?ZDdE035lUf|*DGZZ|(VnB$}eV9X#sKlamb zdLEAqV+uC0f;O0{MRFZf!T%Ul0OXDxfASwciSU!morl({ft6pgRFjL?J|(8T6bII- zgc+gc3}7(+uI|9cL?8WiHVnN~92K}qB-^@_{EUIF17J@Oj z{0)?qDHLGT$Ze~s0pRdGc&t7|tC}7v|2}mHE~OW(!T*O;dl-3E;7n7PqD-$b)=m$ae=!nKI~_>d65 zlZdy714)29=#J$7^;cw1K1BkUB~@BBx5c7ghL3w`gG2v{pNT=pUV=xRG=PDG9}oq7WnoL~UE) zS{pCHqATqv05FEMfMBHb1|Y`@0~)6@_gO06d7a9n@KTX52N1-rh)R1_Hi8J;@5^1K z=&D*>S!e8Q51$817EUS@3reWR@hqLA_kr}`6-x(Fte{c9K0 zDy-?v#B*XjHH*R>-i~G#3k7%%;$b%)Aft=glhj9$=Vbwm$6wYHgvR`G|EWVMiB@(Y zIHwnYx0-Db0`{u88rr`szgfxyhNB80CZ848uqVZdq zrw{weoS zyWQX4yquE@(LbJ9K{i{wpN_rPn$Y!Sa8GlqFCQVz76B^$8Wuu1SbB?ZWy6B;N8O8J zrsnRza}IT*u(l!1Cq({_ll^bh1VpX7VVN;<4}EYia}i6LkNdFLm5`o1BV|MfiawoU zxzbgt;y;a#OLoBtvXPo!sKaOpYHy>8V(!TxX}y3tnW+eW+%*CwP>ob117K@17U{n7 z@AH2T9Oi#wIyulz#}gv*(&)43_6)`$`X+5FY0$Ot-7f}?bt6V1y7X7()hlE_f|BQy zfhNW?0e~w!OE$)DCL}0Ar{q6q8Vi*EaR=T_1iKEJA~f74=nX;Eqo4e_#l`QY)Gq7a znY}-u)L)IhSL!1tqejG>gNO-xD&_7fuR*wS^33q zq^Tw3e7eNYY3ZGR+2G#H6N$!5N%x$Du&4D+pU!LD!dSHq$Fo{81=lrO^ld83)(jh8 zvEO}jd`1B>h}b&sg>qW!VrPmzZW!BOS$+)&139W(Ivh zEw?mXi=9mJd;@I#R9Q6A#TM@M4d6S}zT&lA1@TTCYB2Qv6g?tK!&3zv9#AE<} z(JDm{6rXyUSV6V~cr}Ka@&BP=8nk3m{)|0bXwSv7IhnD7R#gLk$UHUV;(Vs%^&Vws zQ6WLp;`!<^SGPiI?6=aWhnf=Rz|nHl(h4+yN_0>U1jH;tptk>Sjq7q4Cj*6fxOimz zoN{^JIwBr+lxq2c$=7iUMaBaC!cJ>nbpA>5E^pXu$-HyStFC zFv>|&jFrwR(Vc}}48U{@c@{R*H2-9;)cUrdH~(>?!JGe+69OD$p$VC-&N#WoOSr-K ztz-K#u^%6;m)gieZLGEGI4MTynDsPdT<1ohbKSQ?vi--U`RguUPGt!`hu7qTNaUji z{l-+p82{@3pzD5r<;!Wnd>`#4@=K>aG}M;Eq&L3pnfh)#higbu^FITPo=r<#{3P$p zE6VEP+~Tb7mICBw=4xfK5$Nu)00*A37zy~{e>y_jFLs0F^A)BTW!o&Y(Xeg3vokf! zyIhbq7@yeI@u0fwJ2P?8tTIq!s9GY5)0Q*x(%?mW3Uv@&bS6VRxXNmODKhqpPYZh8 z|0ojfeE?Kku7z!~;9n^{;FWc$|2qxj+!?F$H6-yDgTR|g_DkY4&%YYrcMt5#Bt>?9 z6Mgj5B8iXe#R8|2%;eJ*7NYbIr!02u2|cdc)5^lszk3KqsO@4Eo0WYQ39JlLTSGEd zh}_42t+dKqD{ULpR!SyIYtuN<`M$7Ms_lRo|8n|As7;$Qa8Xs#)I{tQm^dO{X{Gy` z;N}gig{IdC&WvkY5PM><5~;;fIBB|ev}4MWFZt_(G)l*yi1bRq*lK^N z+1qY8mW={Ps)!W?_Bfu+-_vn%lBH=>cezA}r?eLrvwB2wD(=qs(Lm)^@WQ1=uaET+ zv)ld0uH!!U7{0O!4AiN2o7Or%8({HZ)X*aiR$5emOE++^Ali*F5J9QNJ|rqC*jZP$ zUAi6&uLxbbl0Efq#flsO2;WJ8}@8Wb4V+xU|2zPM<*k@YaC`?%z#ix2^LXiyE89@wn+sooiIaJ}<1e$P6GW`Iy|=Wp9={{V4^ZZ= z$6;!gM1D)fKr(_7Rp#nea!$_}=;j5A$ETa3?rzk8dJd#gHFC{^E1-fzr+&edmIU^S z8w|eof}3ck792>>Qfg;Q$0sd)NU>vu|0c&a%33czKimTJP#&;!6Q< zo=?szDCJCB)|i>1+lKx!JsfS*1Y5td8b{ulFo5x*kzla5T;k2j$r*-_>@%B<-h5|I zaAS3*Ne_!c?z_JBFc9nr3$wvBo*RL72leflq@A&5+r!-5;`UP>JJ9GxTDiLOXz%b_ zpT$2U$^nHyXut(U6~cok5~fM;y@+T?IeZ`{V(Rp2t1Gx6z-&RkG~09dBv%xcy?mba zLap?X;e@-s9AA9_`PFHOO9xZmFSkZfHG%^3JI7X)Z^`_s9T^qf;y0wP;y0G0*X*Qv zdz>o)6y#-w;K(}~^pR8&SG!7|q29bG-!XHGnAp^EfPQ+7Z zKRAlr&TbxQ_+Qz{z^3q(hs}4%AQ4wRDp#((-KG$T;JTC8WOqcFMt;M|avcAAqvcrN z9oc9G1_u_};V@4TedFeSW)U`%@sh3%+gGcWm|vH_JtM6#q3!>Kvr}Yo{8G@-9k`$W zFeGEF2xEVXEOM7hu2goM!4*jEeB_Q*8%(|}$1Ifm>R~9k%*5YVfDnw&X;HeQG^|>( zc0MoWYPJhIx7w=vstX>jo!TXF=-)~x()tR9KSZTeK9#Iha6Tvz+g>_wsk=7#OuO|R z9Yfyw5xCR9{`AmZ^tcUiw3C~QDE2zxKRw;y)L1621Vaqb0dukro_$`nhGIC+!M zl=oX4c1^p?=Ei-FJAI#IYTj*;GTzS>?P%hX;KKEpf4}iJU2ZjVs#4#)%taqQ3O=vCYwx}(@NHAN`@tWYmHT|PJ=5L>nNI*sSg3Y-e- zOP&4!uJL6HaTV8PW$f!9u`^wYnnWrqb5!m889eG6p=0E(+>s%3gVYQ_qxy2~c^_L? zVSlDe0hunOv`1L)^M52X;MwSWF&+i55Gru&75xtE?D^1DpmqGk$GhN^z8=V7e zqP?B{cy%}%`rG_4U@;kDnxHh#t+kG8Lq-LdWV zo|TZ0H7sLrH~{T{Q}|Kcl>e$ zp9Um`g-h~`D1j6)O1m^f_whU z7drXeH9N~N{}(5n-jNYisQ^C4Hf3;^CX#3in)NyGMxAP|RqU4b?LcDP3lE1_U3X%5 zG$va#9^e6v9g15(Hn4q)5*af|*tBghFx=x~h6gr7V9)Q9bqPPp>*Dau8!k|;z{V_JJ=jMf$N3>`4Q7@3r&JVWP2otpS| zzvTZSJ5#Wg9qo2`hlM_Q_jun6mxcYdDPTI>=e+a^5qiN)oEYc&7)I8YP&DV1G+ydi z^xK!$yd>k))<|?d56&d8*lv>Kcwf(QQca3-VAx<9=98B7xauWNBMZh6I`*6hUfuV+ z1ez<#Kl-f;ovc?pw~2ekWi|V_7R=WN!^24uKUd#_qnup9)dSuYYq_s@#!BAsnhv~Z z`zCsKZtI9vaNX8x!MUxYgGYG&)Ilns*pu$)$)yipLtdTIw_XcOn~u56k|z}x6{5T} zy!tX=Jnl4+u{02$V@9GK-wzqA6rFyiKUl^2$=bkJC1D`H`UjWB&)BPG?@ogX-sp2s zJ;`=lKp%$YJrp9@jT!7vXEO+l3dCRW$DpyR4mLj98*82H&dZEGHnX)X8{2 z2#c#yW*QDn^kL_mT;;9*^n_jT(PEdul-AN~53j}LimKu5&IDw0k9*E=Gg|m*(_VE4 zhWkmEX?Vd(%Cu7z-7&Cw+Rn`~*<}arT$f zsf_4A@s& zC%vzM{vdjqYeW>95=Tv%8dt@{o#|~q=RLsi-v!s#%?$~-632*1P2jpeS34i?Q_w@b zU;cQdoThAcWu(l^fin-Z>okF$8TgPfO3R=|=aF-s7tsVm4h;tvFPnC8Xk})xY+R9D z!%Sm{bV{X{h(5Afh1Gz#-cM=!G6NWi@L?s~VbPOxdZ! z;1c!WF)!o%A8j1_A8|0D8MladSlMn+jqNqk4=(xl&9S5&%)4RUa z{sI&J$hC!sp7=O_1MQ!@sr-XWb-;2jZ0;RHlVM_O;2MBw{jhuzBIoAg{Yy`STWR}k^&M&(i zH81FLZIU0ob-%Inqt11zRp0Kj+4~yP&MODZ)D>bb>?CW$whcLE%(M&)6;1n`pXce> zybHB`g)t0{g(ckjzna%U|qVhBsRS$jsEC|^`MFhyG+B7;rmmN%F8naoF>DUo+|K3_6;u zko1m(bflgxa_|DwXE`$col-LjZ4&y;?Sdh>QodJ@&%W#_U{ z%0T^Tjsu#RsLZ_q2tF=LO%DrNWu{A4o$Xxu@lE%Y^tjJUYV>??Z-IKZib8H+H6>qh zdhp(OM+g^elbA#PZ$B>?e=fF=)NCgEBiTV4R`HSLTQ7F)+9#agqULt9!2D`#yq~2V zD-V9{)+X!LtunB`)Y+!5RY~7$d6Fa;fAal`uTAcBLzmN!MdYde#PZLPiYwRfDJ458 z#^<9|y)Nn=*v&-nc1xZKC$TZ31BtIwhma{+3G!||aqNAkeOqAt$CioH=X>fU?`uh- zvVLtb_&hpvvl)5!dE!NxbEgZq3xGw~Wz{e7t=(5KS68toQwTXZlhIDan3R;@_PG4n zrHiu&y~?Miv-4ZqS13U%Bf+iUr-Mc9tp}b?8-}kwFLR2B=%^9zl}Xd{-^~nGjbaSs z$E!$?>M9*Q|29MllRw3v`*rOF7@_wC+y-Gb5ZdRUe}y5l-(g*gLiU(jKmYxy?B(im zuiFB$mgmV-Ml;8<@czZl-nkB&wzC`YA>y*7;S52Dy^{|}dz1QZkVkV!{Gd?>3MBno z@C@eYU<@)`8gQj`I6N=*5rRurNQCZp-tivDJ1TrZu^aa``4&WR(r*RPqY-|Zuf?Kh zd=9}->~9yo8|m0y#4=+c)9qJgIsy@D4>#wegQ}WrAg0K=3uY8x&fs^y`bp3P*T+^_1 zN89;RWT$)J0d zRtk$sh%dx_PBm`}Ti)Zh8hhCi=^F^7Z>47Q^Xmdl^Ilbnb42GO11WGB3S(Zr%PT9Z z=)NhjlN*N>?7}Xy1a%*rMK(^2lMXp)lz-YFs5C{?z&$i?_BU9i=$#cdBpYRM^WH48 za1(`1HyveOq-E!IX5__Xo~6gG!BN8YOL0MbnnCJ$TX%j!>6xkPoCyf{G&SjoF!u~U7kyqiS_!W-)vf)w?uEcEo8n{ z$y6e_qy0*PQ%`A4uuk6FV=t$){x~1Wk@BHh6*;-6tpB~aIQ&Xx#mi5tt3{Rv-C|9= zmn^z6?kBLd-bg8>1dXE49R|_xOhg6<21XeU4$&7j-ta!dl0gS-adv_E4?vX?s2}}N{@zy z&)KDAeiId*rOOtDH2$*`_Yvun?Xqri11!h={jv(V7ZsiO@SGfg=) zOQW;kve#`60suL%{YK`6QpX;bX!hRt#QP;KbG^egoG(bY&%Jp@+c}zalyBQN0(zcJ$2^fTO(j9t5*6GKa3Rr{j=%B<2`Z&=qr40KB*x5Yvv1mr-m0z zKxu9How{nThhJV;(W06-umAFC*gbchB|*J^GCn>~V_~%QN*J_NeqzXra=W}RyOfa? z7}&x0=AxIrb3vEh`}lyt)Le6^q=3(1#M0mPi>Bksiv!pG*rg1@&e1~?ugwsVH->8} zQfgZof{(&lo%L#Wt-?wvRY6w-T{Lr&K;6l$uJj5&ymbkrosCD_BmJ^i0b94!pLWxU zvSOlXWACk11t*vL7$`q-ZQ(b*euipMi+j*XNo4pTeZ{G?aup=rDQY*k2Q8`c$-Il&BNaMPDdttnAECt5R()?{>6;; zYGq66>>LxQtH|)FKdjL$IqKNfIn%aq7{j9T%vVbJdEl6_SLMET)x&4y#_rEW4yPRs z!S5-RrJCXSHmqA=fqui6Z@ruJa3bVI>*Cgyxg-$O=udg8wy~Dz4-aIRE)sE4YykAM z^X9f69SpUgPU^}ev%{u(;hR6SYBamNu|x9YtBG5R@!T`FD*@knGZC{N@GIUeGRFFs zSbgSRJUmz)G&FEMy21^R|9J-=HVIq0>yT^^HN9+G=Or_b`ugGFJp?()P+M;T77pXo`LV$6 z)zpOe4jKXJ&1vgCnx`M8S24pB;Zynx-Sbyx4@C7%M#B|XKDTf*Z-dbV{Wtd2XOeHA z)zLnTvD(zW()w9aSLm#DQ$Y5r6vKiZNSRw=@7cz9Z;XGVOdXh0Cl?Y;^=jpFV83CF$|kWcI82XuM;F^$^S+Z+($^7uyaepG?;US^n6_M*eX`p(?q)6`sNGKAj6afoe1VKcQBGQYLgeD#7 zML>%5q5>W|iXw#Okq(CzI-%W(9zFNm`{Vn4Z`QlARmLVLM%f_-> zd#mt)%g|yv?Pg})&Nbc&$bbWPdwf~DM4cLS?gwl1jmPP-0|ZO8>yWMeuk}Z^VlvKQ z(_GPAvx)I8<+5XHGZoO}w^0{zBBNCbq*>Vy}k|UC+UiKiEBx@Dq zWS>9|*Sm$t?-!%XIp5qLd}bq?ptirwlKIAYno4+8B^D_=YPUU>v}sSUbvrunbQq~B zf06WVDqdmAL?K%(I@613{L1yYBxZ0=VmQSnivVn(xruFHL}DnSzwxP!)TUxaI;gAQ zM}LT_Nh(;1H%%5`XU)oi$DtmaA}f5N?Fy#j0{$`Om7N@#sH9(}S#jkNYu zNDb-snuSM2QzokSASbz26$F>r_7vuP(01qd4ql~|37@x@OLV4M_uUq3J??+j-BBF7 zGiREf->;@S7^qh({eC9Pl!jY>wC~84dT-k#3Ewrh=2$aRHXGRq#s=wxgw`LgoyPDP z7JUqKi9Ph~>lQPiczyY66obW+bot@tfG9Q{p^L}OLnkc5st)2A3S5pJ-JO5^&TUFt z;^Q=x{;73Y_sOe|9EVhqfoRyk;(lp%+^|6Sdc8_7z7}CwKR>8`C_XT4X(7WNncNc> zQPye5E9~_x@{LZKw08F~fx4;uNr|My$7_*yXIbuE@Ak>u3216tuPQNHFTG8>xx%<+ z+Pm=A7gQepE>FC%jv>@;Xnd0J4W4myW0#}oPY3Th)E?D=oFn-Yl34A(9=^Hol)_(5 zqWpMsOqAbdgXyon10IcHN#aK1w&|8e=bTIK28^4=H(Tw6_(vTEGrq-DUc+4NhK~I2F1>yYADCZJCj5blG{CeX>Al z{KJ>Jdpz|k_4nE(hP`E_oy6+Koyy$=KDt()VF9NLiC;ODknP!ukC&au@YKv{SRBgc zpry%q{#?tn#r=d&?XluBgu{3>Jq@$`3$Qt!M}BTyufdJah;w^aE^oG8&?Vu~*HtXaP^WKNkMsqd9W8G%A4al$!*?+=mzgwZ z2;`2fuNVoRn%^2S`V_pC5^mKy@M1?{N)F?3&T07;)nKWLnai1e#3U|c#Ae00^qBdI z*NQ6PXn!>qj;-;YO+sEVtD*Bs!oSm8jmnS|u#tJRJRt=O`y#>{Cf#nW*R8=YPa7T4 zHQkSpPGLbsAM9&%*V9CI)4Jc!mfqTEYgi0vnQ=5t1I>BpTJr2+kiRqY9^t5GGT-ia zg0}rtjpC5Qh3lQ2DAJ(3@Fj4?Y(x%BgXZJ0+WuxsH?}c!pz~fKZA)2~^1k_z zC8+|>NrH6L)3RO!p~anQNZsl)g!a=#hEHXzS?ha)2g1^cPKLrpHbV<}CHej1vm5X< zoHa#k%VNLtyDD<~Wlg}p=JLRuPR!TlH_6*8Nu zLGUJ>m-694vV$@wp7k0y7h3G$2S@yNE&d!EeHm)5x4{~Q6_@Y&V^*)&OQZld&Tv_R zXSL31*~@7pq^2@xS(;ZL{kl8t00jf&5*_!D!f@Mre7>6?xjdRTfD^SnWf-x4Sj?Xo zL9<}npUfX<+nZeTd5rvFV4&$1!J(W7+LF7RR#WrwevM&1eOU|QO7a_c0`)T+Zt;5) zaULIZ`<;B|XU&}W;-Z2UXG@q1HS<}OL)VArBCGc|7t6eKa`<#{L9DZN=XwZt@VzEAmUoWA8N3F znlUbI`TZ!4Y%}>53~GxXv%K)RP(Qzox!nq;*B(wkUuc_tK4pG=_G{x=H*aeRZJiX8 z0<{CW9?fr6xvq(V=1_mDqjRU)mzdMz*A6TbLLcs3v9t8Wm-%~>^&%$8sw9rq_8K35 z0tchackrm^f^GD|y!ZWw&xMcngm7a#_Lx==r``WJE41qIn7Nd;Hue6| zd!7P|CYgn?y^YQ3M%Bst1_Hsx?%K&B76LTiB^vLKyiOv}c%zXr6JGX&9d9C6;!yJRJ`9R({j%e=?be`za9cqiWTl+EXoL1(y*lVF;p3wD58n_<6!yxu60 zA}ptUHQYN1S3f{Lc{DVdb!6-IlzP)$+&QVunbGM%=qp_3#5~1GOvaLr3!Mp5vccAz zgbH&+PaGgYj-y>q*^5O64jIcf6K-o;{jx8Zs<8v)BEM3?LrEC6MytqdU!u{659|gk z<2f&%VtdU_)53%Hc5MMQon`^t5=5n4JUFRy>)N+r1Yknl8 z`Cw9WQo;(qR0uALre%!B;c4pbNR}Iug{Cy0$pVAmf8|HZ_qm&TYhsbtSI$=Kv>OS7 z?ocnE;AM$M2s`FInDHMlXrcVh$(p{x+06*$mBq*KwlAfaPxL$* z+KHhu#v_{Jk}8$kDlVRtN&E#TC)dI%&#{s|Pye4cAR*7YmUe@03a3(rS>0hnH+?I% zP&lVD75Xaef+ZNE9ycWp4Lo~E*hn$FiFWb;U9q6V8ok^?-?Q&kWo5@z6GUNp9+MpU z;h&w{tzX3DiG`NQWrx)+M1V6!4azd)36i7s_#lKo;(ftMQaPad=Eustx)>yZtUy`; z_f?6r6RXFQ1`-c)u%#!nH-G=`=*AnGBA->Xq2J_7n4faYZ)bo~L8-D?A)9o5hyj)U z*|{P?C99cW!`tYz3;_m{z87II%`XRT*BfxxG73!L?9C4CD%IklDFVF53&aVO!_7~B z;I2mq!JsgxnjGak2Vh@FdT+m>xqn9&P4W9oX$2F(ic}7aRakOHpS?uGEI8I4(srZ7 zqvI=uODvom9Nd4tPdvcy^9P~~EaMcVr*sGj4Eykoc}{MTCY?#$Z9_`KIi7AJ99RL} zjn7icHbdT74)K1wMf9||5q77F9AG-;J;!FRf7BX)+f+r%dLY$9(g zfZ$$5d5rc+--?{2q%(Ncu_ctEWr^YHPSKDKn@{R;)vhw966ftN0e-Attmw%+?%?Ji zJoMGr>)(RZ&H&Hq4J8uMB-r6r9ovS^);7_RkWimNA(RY!g{PRfoCuS|`JP`?=fJRI zt}ZGm(vl)!a+{96rHfF6k&`h!#o%72D`w{O|34=$HQf$PQ>=9d25pu8&?yjZ-tcrEf~l1V=^i!6*;B&Cjciu zFhe^Pv>0)LAyz&tXAd^<`iG8fH?}BW`m&RdvEOnA1d2g!ue>X08U)edRg_=QOfe|l zwjIVn=tsU4H?5?)J2(XOxmj4T*4a}EEV~O+`2<{O+2UE{Lq!sZ;@b(3j2*Ky9-!-0 z48oHM3+buu8$U}nT~VJ-LWF=VqZ9O~du$!8sY1)*H+98{xyJeausXLTF+0cx>W2`CEuHiv zS`C8Qi+{xtC6O2X!(C81Oa6syM>-Nxy32<@%++`*q<*RwMiOSlZQj0N^wZp{#XrqO z!c4Me^8>7|W|YT+XlOK`jw51?CIBs!IOC5jqAnz(K%>qR zgRDUG>YVJnC4ZM+Q_;I5C%QrjfSkE`&JV;azQd+VSHxodmf_f>2dObNqsvCsv^i`# z#}54~J~xDp|KbMB(KveyIiSYA- z0Z(tiq0<;ETQw0)Ky4F$mY`r|#cLn|1T0om_#pMu3gY)IIC%RJ@$Go76V~0py;yjV zTNfQ#m77>RcttOx&k{ZP^N9g==cFV2WwA?*$UF#03V-jX5vcsu;cwY3?f*+Q?1yYp z>ku#qz-!$<^;wN{|wgkeR}Sm{ZB=}kh40v!JSQ~0?~ zMRijoOaIvU8cPDx+x?-r9E){|DtKL>qT>c5nN25*M+1h- zG-|0cDo5?j&V2k7K%|DaX3mL!GNZfd2ZGcdlxn@hFDhK%?*=4VIGgI?mDp5njMbFO z%9s=_sC=*dw`9-Oh=ogsQ;9XGMkrhC9?^5HEV-u0x0i{oRtSwjUT}9hPxB9Aa8MzO zSbzk#ho!hN$MGSuzWq-Sj{gwp4^`$1PgR5c7 z{mam4@XH3RnqJM#&L2w*bdKYnbUlCLstydKCh9BYT9EmP6ln4Co}VHK8T=<7PP6`g zlkPP$(7}`F-kOO>LT~`^hven#(`M%=VSfuQ{~v<+OnwNq%lt$n5=@DMk#e^y2Xw{T zYR8?Pb7YhfG1u8jxko>Gd-s>)$XQMiqvPKX`*V;oJP}z|V(b~Vx=~qCk)Woo-mjyj zb@2FNYe1Hwq9>ULiIF^_AkzUNmb5vwz%~kp^NeSj9=>qJ_0jqLfSip!e$ z%)n*vJxs^3mkdWng13K12tU6rpd*?3$(4D@T1lbk|=}AxoT+y#T8T`2xcM{KLU-pOW^KH;mU+)s2#X4@&hqqEz{o|9=6Z C(87KI diff --git a/hw/super6502_fpga/src/sub/network_processor/src/m2s_dma.sv b/hw/super6502_fpga/src/sub/network_processor/src/m2s_dma.sv new file mode 100644 index 0000000..e69de29 diff --git a/hw/super6502_fpga/src/sub/network_processor/src/packet_generator.sv b/hw/super6502_fpga/src/sub/network_processor/src/packet_generator.sv new file mode 100644 index 0000000..e69de29 diff --git a/hw/super6502_fpga/src/sub/network_processor/src/ring_buffer_manager.sv b/hw/super6502_fpga/src/sub/network_processor/src/ring_buffer_manager.sv new file mode 100644 index 0000000..e69de29 diff --git a/hw/super6502_fpga/src/sub/network_processor/src/s2m_dma.sv b/hw/super6502_fpga/src/sub/network_processor/src/s2m_dma.sv new file mode 100644 index 0000000..e69de29 diff --git a/hw/super6502_fpga/src/sub/network_processor/src/tcp.sv b/hw/super6502_fpga/src/sub/network_processor/src/tcp.sv index d7d8e6e..82433d1 100644 --- a/hw/super6502_fpga/src/sub/network_processor/src/tcp.sv +++ b/hw/super6502_fpga/src/sub/network_processor/src/tcp.sv @@ -1,28 +1,29 @@ module tcp #( - parameter NUM_TCP=8 + parameter NUM_TCP=8, + parameter DATA_WIDTH=8 )( input i_clk, input i_rst, -output logic s_reg_axil_awready, -input wire s_reg_axil_awvalid, -input wire [8:0] s_reg_axil_awaddr, -input wire [2:0] s_reg_axil_awprot, -output logic s_reg_axil_wready, -input wire s_reg_axil_wvalid, -input wire [31:0] s_reg_axil_wdata, -input wire [3:0] s_reg_axil_wstrb, -input wire s_reg_axil_bready, -output logic s_reg_axil_bvalid, -output logic [1:0] s_reg_axil_bresp, -output logic s_reg_axil_arready, -input wire s_reg_axil_arvalid, -input wire [8:0] s_reg_axil_araddr, -input wire [2:0] s_reg_axil_arprot, -input wire s_reg_axil_rready, -output logic s_reg_axil_rvalid, -output logic [31:0] s_reg_axil_rdata, -output logic [1:0] s_reg_axil_rresp + output logic s_reg_axil_awready, + input wire s_reg_axil_awvalid, + input wire [8:0] s_reg_axil_awaddr, + input wire [2:0] s_reg_axil_awprot, + output logic s_reg_axil_wready, + input wire s_reg_axil_wvalid, + input wire [31:0] s_reg_axil_wdata, + input wire [3:0] s_reg_axil_wstrb, + input wire s_reg_axil_bready, + output logic s_reg_axil_bvalid, + output logic [1:0] s_reg_axil_bresp, + output logic s_reg_axil_arready, + input wire s_reg_axil_arvalid, + input wire [8:0] s_reg_axil_araddr, + input wire [2:0] s_reg_axil_arprot, + input wire s_reg_axil_rready, + output logic s_reg_axil_rvalid, + output logic [31:0] s_reg_axil_rdata, + output logic [1:0] s_reg_axil_rresp ); tcp_top_regfile_pkg::tcp_top_regfile__in_t tcp_hwif_in; @@ -57,6 +58,111 @@ tcp_top_regfile u_tcp_top_regfile ( .hwif_out (tcp_hwif_out) ); +localparam KEEP_WIDTH = ((DATA_WIDTH+7)/8); +localparam USER_WIDTH = 1; +localparam DEST_WIDTH = 8; + +logic [DATA_WIDTH-1:0] m2s_tx_axis_tdata; +logic [KEEP_WIDTH-1:0] m2s_tx_axis_tkeep; +logic m2s_tx_axis_tvalid; +logic m2s_tx_axis_tready; +logic m2s_tx_axis_tlast; +logic [DEST_WIDTH-1:0] m2s_tx_axis_tdest; +logic [USER_WIDTH-1:0] m2s_tx_axis_tuser; + +logic [NUM_TCP*DATA_WIDTH-1:0] tcp_tx_axis_tdata; +logic [NUM_TCP*KEEP_WIDTH-1:0] tcp_tx_axis_tkeep; +logic [NUM_TCP-1:0] tcp_tx_axis_tvalid; +logic [NUM_TCP-1:0] tcp_tx_axis_tready; +logic [NUM_TCP-1:0] tcp_tx_axis_tlast; +logic [NUM_TCP*DEST_WIDTH-1:0] tcp_tx_axis_tdest; +logic [NUM_TCP*USER_WIDTH-1:0] tcp_tx_axis_tuser; + +logic [NUM_TCP*DATA_WIDTH-1:0] tcp_rx_axis_tdata; +logic [NUM_TCP*KEEP_WIDTH-1:0] tcp_rx_axis_tkeep; +logic [NUM_TCP-1:0] tcp_rx_axis_tvalid; +logic [NUM_TCP-1:0] tcp_rx_axis_tready; +logic [NUM_TCP-1:0] tcp_rx_axis_tlast; +logic [NUM_TCP*DEST_WIDTH-1:0] tcp_rx_axis_tdest; +logic [NUM_TCP*USER_WIDTH-1:0] tcp_rx_axis_tuser; + +logic [DATA_WIDTH-1:0] s2m_rx_axis_tdata; +logic [KEEP_WIDTH-1:0] s2m_rx_axis_tkeep; +logic s2m_rx_axis_tvalid; +logic s2m_rx_axis_tready; +logic s2m_rx_axis_tlast; +logic [DEST_WIDTH-1:0] s2m_rx_axis_tdest; +logic [USER_WIDTH-1:0] s2m_rx_axis_tuser; + + +//m2s dma + +//s2m dma + +// tx_stream demux +axis_demux #( + .M_COUNT(NUM_TCP), + .DATA_WIDTH(DATA_WIDTH), + .M_DEST_WIDTH(DEST_WIDTH), + .DEST_ENABLE(1), + .TDEST_ROUTE(1) +) tx_stream_demux ( + .clk (i_clk), + .rst (i_rst), + + .s_axis_tdata (m2s_tx_axis_tdata), + .s_axis_tkeep (m2s_tx_axis_tkeep), + .s_axis_tvalid (m2s_tx_axis_tvalid), + .s_axis_tready (m2s_tx_axis_tready), + .s_axis_tlast (m2s_tx_axis_tlast), + .s_axis_tid ('0), + .s_axis_tdest (m2s_tx_axis_tdest), + .s_axis_tuser (m2s_tx_axis_tuser), + + .m_axis_tdata (tcp_tx_axis_tdata), + .m_axis_tkeep (tcp_tx_axis_tkeep), + .m_axis_tvalid (tcp_tx_axis_tvalid), + .m_axis_tready (tcp_tx_axis_tready), + .m_axis_tlast (tcp_tx_axis_tlast), + .m_axis_tid (), + .m_axis_tdest (tcp_tx_axis_tdest), + .m_axis_tuser (tcp_tx_axis_tuser), + + .enable ('1), + .drop ('0), + .select ('0) +); + +// rx_stream arb +axis_arb_mux #( + .S_COUNT(NUM_TCP), + .DATA_WIDTH(DATA_WIDTH), + .DEST_ENABLE(1), + .DEST_WIDTH(8) +) rx_stream_demux ( + .clk (i_clk), + .rst (i_rst), + + .s_axis_tdata (tcp_rx_axis_tdata), + .s_axis_tkeep (tcp_rx_axis_tkeep), + .s_axis_tvalid (tcp_rx_axis_tvalid), + .s_axis_tready (tcp_rx_axis_tready), + .s_axis_tlast (tcp_rx_axis_tlast), + .s_axis_tid ('0), + .s_axis_tdest (tcp_rx_axis_tdest), + .s_axis_tuser (tcp_rx_axis_tuser), + + .m_axis_tdata (s2m_rx_axis_tdata), + .m_axis_tkeep (s2m_rx_axis_tkeep), + .m_axis_tvalid (s2m_rx_axis_tvalid), + .m_axis_tready (s2m_rx_axis_tready), + .m_axis_tlast (s2m_rx_axis_tlast), + .m_axis_tid (), + .m_axis_tdest (s2m_rx_axis_tdest), + .m_axis_tuser (s2m_rx_axis_tuser) +); + + generate for (genvar i = 0; i < NUM_TCP; i++) begin @@ -88,7 +194,23 @@ generate .s_cpuif_rd_err (), .s_cpuif_rd_data (tcp_hwif_in.tcp_streams[i].rd_data), .s_cpuif_wr_ack (tcp_hwif_in.tcp_streams[i].wr_ack), - .s_cpuif_wr_err () + .s_cpuif_wr_err (), + + .s_axis_tdata (tcp_tx_axis_tdata[i*DATA_WIDTH+:DATA_WIDTH]), + .s_axis_tkeep (tcp_tx_axis_tkeep[i*KEEP_WIDTH+:KEEP_WIDTH]), + .s_axis_tvalid (tcp_tx_axis_tvalid[i]), + .s_axis_tready (tcp_tx_axis_tready[i]), + .s_axis_tlast (tcp_tx_axis_tlast[i]), + .s_axis_tdest (tcp_tx_axis_tdest[i*DEST_WIDTH+:DEST_WIDTH]), + .s_axis_tuser (tcp_tx_axis_tuser[i*USER_WIDTH+:USER_WIDTH]), + + .m_axis_tdata (tcp_rx_axis_tdata[i*DATA_WIDTH+:DATA_WIDTH]), + .m_axis_tkeep (tcp_rx_axis_tkeep[i*KEEP_WIDTH+:KEEP_WIDTH]), + .m_axis_tvalid (tcp_rx_axis_tvalid[i]), + .m_axis_tready (tcp_rx_axis_tready[i]), + .m_axis_tlast (tcp_rx_axis_tlast[i]), + .m_axis_tdest (tcp_rx_axis_tdest[i*DEST_WIDTH+:DEST_WIDTH]), + .m_axis_tuser (tcp_rx_axis_tuser[i*USER_WIDTH+:USER_WIDTH]) ); end endgenerate diff --git a/hw/super6502_fpga/src/sub/network_processor/src/tcp_stream.sv b/hw/super6502_fpga/src/sub/network_processor/src/tcp_stream.sv index 75430df..3a9084e 100644 --- a/hw/super6502_fpga/src/sub/network_processor/src/tcp_stream.sv +++ b/hw/super6502_fpga/src/sub/network_processor/src/tcp_stream.sv @@ -1,4 +1,9 @@ -module tcp_stream( +module tcp_stream #( + parameter DATA_WIDTH = 8, + parameter KEEP_WIDTH = ((DATA_WIDTH+7)/8), + parameter DEST_WIDTH = 8, + parameter USER_WIDTH = 1 +)( input wire clk, input wire rst, @@ -13,8 +18,23 @@ module tcp_stream( output wire s_cpuif_rd_err, output wire [31:0] s_cpuif_rd_data, output wire s_cpuif_wr_ack, - output wire s_cpuif_wr_err + output wire s_cpuif_wr_err, + input wire [DATA_WIDTH-1:0] s_axis_tdata, + input wire [KEEP_WIDTH-1:0] s_axis_tkeep, + input wire s_axis_tvalid, + output wire s_axis_tready, + input wire s_axis_tlast, + input wire [DEST_WIDTH-1:0] s_axis_tdest, + input wire [USER_WIDTH-1:0] s_axis_tuser, + + output wire [DATA_WIDTH-1:0] m_axis_tdata, + output wire [KEEP_WIDTH-1:0] m_axis_tkeep, + output wire m_axis_tvalid, + input wire m_axis_tready, + output wire m_axis_tlast, + output wire [DEST_WIDTH-1:0] m_axis_tdest, + output wire [USER_WIDTH-1:0] m_axis_tuser ); // regs diff --git a/hw/super6502_fpga/src/sub/network_processor/src/tx_control.sv b/hw/super6502_fpga/src/sub/network_processor/src/tx_control.sv new file mode 100644 index 0000000..e69de29