# Efinity Interface Designer SDC # Version: 2021.2.323.4.6 # Date: 2022-11-01 18:17 # Copyright (C) 2017 - 2021 Efinix Inc. All rights reserved. # Device: T20F256 # Project: super6502 # Timing Model: C4 (final) # PLL Constraints ################# create_clock -period 20.00 clk_50 create_clock -period 500.00 clk_2 # GPIO Constraints #################### # set_input_delay -clock -max [get_ports {button_reset}] # set_input_delay -clock -min [get_ports {button_reset}] # set_input_delay -clock -max [get_ports {cpu_sync}] # set_input_delay -clock -min [get_ports {cpu_sync}] # set_input_delay -clock -max [get_ports {pll_in}] # set_input_delay -clock -min [get_ports {pll_in}] # set_output_delay -clock -max [get_ports {cpu_addr[0]}] # set_output_delay -clock -min [get_ports {cpu_addr[0]}] # set_output_delay -clock -max [get_ports {cpu_addr[1]}] # set_output_delay -clock -min [get_ports {cpu_addr[1]}] # set_output_delay -clock -max [get_ports {cpu_addr[2]}] # set_output_delay -clock -min [get_ports {cpu_addr[2]}] # set_output_delay -clock -max [get_ports {cpu_addr[3]}] # set_output_delay -clock -min [get_ports {cpu_addr[3]}] # set_output_delay -clock -max [get_ports {cpu_addr[4]}] # set_output_delay -clock -min [get_ports {cpu_addr[4]}] # set_output_delay -clock -max [get_ports {cpu_addr[5]}] # set_output_delay -clock -min [get_ports {cpu_addr[5]}] # set_output_delay -clock -max [get_ports {cpu_addr[6]}] # set_output_delay -clock -min [get_ports {cpu_addr[6]}] # set_output_delay -clock -max [get_ports {cpu_addr[7]}] # set_output_delay -clock -min [get_ports {cpu_addr[7]}] # set_output_delay -clock -max [get_ports {cpu_addr[8]}] # set_output_delay -clock -min [get_ports {cpu_addr[8]}] # set_output_delay -clock -max [get_ports {cpu_addr[9]}] # set_output_delay -clock -min [get_ports {cpu_addr[9]}] # set_output_delay -clock -max [get_ports {cpu_addr[10]}] # set_output_delay -clock -min [get_ports {cpu_addr[10]}] # set_output_delay -clock -max [get_ports {cpu_addr[11]}] # set_output_delay -clock -min [get_ports {cpu_addr[11]}] # set_output_delay -clock -max [get_ports {cpu_addr[12]}] # set_output_delay -clock -min [get_ports {cpu_addr[12]}] # set_output_delay -clock -max [get_ports {cpu_addr[13]}] # set_output_delay -clock -min [get_ports {cpu_addr[13]}] # set_output_delay -clock -max [get_ports {cpu_addr[14]}] # set_output_delay -clock -min [get_ports {cpu_addr[14]}] # set_output_delay -clock -max [get_ports {cpu_addr[15]}] # set_output_delay -clock -min [get_ports {cpu_addr[15]}] # set_output_delay -clock -max [get_ports {cpu_irqb}] # set_output_delay -clock -min [get_ports {cpu_irqb}] # set_output_delay -clock -max [get_ports {cpu_nmib}] # set_output_delay -clock -min [get_ports {cpu_nmib}] # set_output_delay -clock -max [get_ports {cpu_rdy}] # set_output_delay -clock -min [get_ports {cpu_rdy}] # set_output_delay -clock -max [get_ports {cpu_resb}] # set_output_delay -clock -min [get_ports {cpu_resb}] # set_output_delay -clock -max [get_ports {cpu_rwb}] # set_output_delay -clock -min [get_ports {cpu_rwb}] # set_input_delay -clock -max [get_ports {cpu_data_in[0]}] # set_input_delay -clock -min [get_ports {cpu_data_in[0]}] # set_output_delay -clock -max [get_ports {cpu_data_out[0]}] # set_output_delay -clock -min [get_ports {cpu_data_out[0]}] # set_output_delay -clock -max [get_ports {cpu_data_oe[0]}] # set_output_delay -clock -min [get_ports {cpu_data_oe[0]}] # set_input_delay -clock -max [get_ports {cpu_data_in[1]}] # set_input_delay -clock -min [get_ports {cpu_data_in[1]}] # set_output_delay -clock -max [get_ports {cpu_data_out[1]}] # set_output_delay -clock -min [get_ports {cpu_data_out[1]}] # set_output_delay -clock -max [get_ports {cpu_data_oe[1]}] # set_output_delay -clock -min [get_ports {cpu_data_oe[1]}] # set_input_delay -clock -max [get_ports {cpu_data_in[2]}] # set_input_delay -clock -min [get_ports {cpu_data_in[2]}] # set_output_delay -clock -max [get_ports {cpu_data_out[2]}] # set_output_delay -clock -min [get_ports {cpu_data_out[2]}] # set_output_delay -clock -max [get_ports {cpu_data_oe[2]}] # set_output_delay -clock -min [get_ports {cpu_data_oe[2]}] # set_input_delay -clock -max [get_ports {cpu_data_in[3]}] # set_input_delay -clock -min [get_ports {cpu_data_in[3]}] # set_output_delay -clock -max [get_ports {cpu_data_out[3]}] # set_output_delay -clock -min [get_ports {cpu_data_out[3]}] # set_output_delay -clock -max [get_ports {cpu_data_oe[3]}] # set_output_delay -clock -min [get_ports {cpu_data_oe[3]}] # set_input_delay -clock -max [get_ports {cpu_data_in[4]}] # set_input_delay -clock -min [get_ports {cpu_data_in[4]}] # set_output_delay -clock -max [get_ports {cpu_data_out[4]}] # set_output_delay -clock -min [get_ports {cpu_data_out[4]}] # set_output_delay -clock -max [get_ports {cpu_data_oe[4]}] # set_output_delay -clock -min [get_ports {cpu_data_oe[4]}] # set_input_delay -clock -max [get_ports {cpu_data_in[5]}] # set_input_delay -clock -min [get_ports {cpu_data_in[5]}] # set_output_delay -clock -max [get_ports {cpu_data_out[5]}] # set_output_delay -clock -min [get_ports {cpu_data_out[5]}] # set_output_delay -clock -max [get_ports {cpu_data_oe[5]}] # set_output_delay -clock -min [get_ports {cpu_data_oe[5]}] # set_input_delay -clock -max [get_ports {cpu_data_in[6]}] # set_input_delay -clock -min [get_ports {cpu_data_in[6]}] # set_output_delay -clock -max [get_ports {cpu_data_out[6]}] # set_output_delay -clock -min [get_ports {cpu_data_out[6]}] # set_output_delay -clock -max [get_ports {cpu_data_oe[6]}] # set_output_delay -clock -min [get_ports {cpu_data_oe[6]}] # set_input_delay -clock -max [get_ports {cpu_data_in[7]}] # set_input_delay -clock -min [get_ports {cpu_data_in[7]}] # set_output_delay -clock -max [get_ports {cpu_data_out[7]}] # set_output_delay -clock -min [get_ports {cpu_data_out[7]}] # set_output_delay -clock -max [get_ports {cpu_data_oe[7]}] # set_output_delay -clock -min [get_ports {cpu_data_oe[7]}]