diff --git a/src/axi/rtl/taxi_axi_tie.f b/src/axi/rtl/taxi_axi_tie.f new file mode 100644 index 0000000..1775b78 --- /dev/null +++ b/src/axi/rtl/taxi_axi_tie.f @@ -0,0 +1,4 @@ +taxi_axi_tie.sv +taxi_axi_tie_wr.sv +taxi_axi_tie_rd.sv +taxi_axi_if.sv diff --git a/src/axi/rtl/taxi_axi_tie.sv b/src/axi/rtl/taxi_axi_tie.sv new file mode 100644 index 0000000..57ad3e9 --- /dev/null +++ b/src/axi/rtl/taxi_axi_tie.sv @@ -0,0 +1,61 @@ +// SPDX-License-Identifier: MIT +/* + +Copyright (c) 2025 FPGA Ninja, LLC + +Authors: +- Alex Forencich + +*/ + +`resetall +`timescale 1ns / 1ps +`default_nettype none + +/* + * AXI4 tie + */ +module taxi_axi_tie +( + /* + * AXI4 slave interface + */ + taxi_axi_if.wr_slv s_axi_wr, + taxi_axi_if.rd_slv s_axi_rd, + + /* + * AXI4 master interface + */ + taxi_axi_if.wr_mst m_axi_wr, + taxi_axi_if.rd_mst m_axi_rd +); + +taxi_axi_tie_wr +wr_inst ( + /* + * AXI4 slave interface + */ + .s_axi_wr(s_axi_wr), + + /* + * AXI4 master interface + */ + .m_axi_wr(m_axi_wr) +); + +taxi_axi_tie_rd +rd_inst ( + /* + * AXI4 slave interface + */ + .s_axi_rd(s_axi_rd), + + /* + * AXI4 master interface + */ + .m_axi_rd(m_axi_rd) +); + +endmodule + +`resetall diff --git a/src/axi/rtl/taxi_axi_tie_rd.sv b/src/axi/rtl/taxi_axi_tie_rd.sv new file mode 100644 index 0000000..cc9c494 --- /dev/null +++ b/src/axi/rtl/taxi_axi_tie_rd.sv @@ -0,0 +1,72 @@ +// SPDX-License-Identifier: MIT +/* + +Copyright (c) 2025 FPGA Ninja, LLC + +Authors: +- Alex Forencich + +*/ + +`resetall +`timescale 1ns / 1ps +`default_nettype none + +/* + * AXI4 tie (read) + */ +module taxi_axi_tie_rd +( + /* + * AXI4 slave interface + */ + taxi_axi_if.rd_slv s_axi_rd, + + /* + * AXI4 master interface + */ + taxi_axi_if.rd_mst m_axi_rd +); + +// extract parameters +localparam DATA_W = s_axi_rd.DATA_W; +localparam ADDR_W = s_axi_rd.ADDR_W; +localparam STRB_W = s_axi_rd.STRB_W; +localparam ID_W = s_axi_rd.ID_W; +localparam logic ARUSER_EN = s_axi_rd.ARUSER_EN && m_axi_rd.ARUSER_EN; +localparam ARUSER_W = s_axi_rd.ARUSER_W; +localparam logic RUSER_EN = s_axi_rd.RUSER_EN && m_axi_rd.RUSER_EN; +localparam RUSER_W = s_axi_rd.RUSER_W; + +// check configuration +if (m_axi_rd.DATA_W != DATA_W) + $fatal(0, "Error: Interface DATA_W parameter mismatch (instance %m)"); + +if (m_axi_rd.STRB_W != STRB_W) + $fatal(0, "Error: Interface STRB_W parameter mismatch (instance %m)"); + +assign m_axi_rd.arid = s_axi_rd.arid; +assign m_axi_rd.araddr = s_axi_rd.araddr; +assign m_axi_rd.arlen = s_axi_rd.arlen; +assign m_axi_rd.arsize = s_axi_rd.arsize; +assign m_axi_rd.arburst = s_axi_rd.arburst; +assign m_axi_rd.arlock = s_axi_rd.arlock; +assign m_axi_rd.arcache = s_axi_rd.arcache; +assign m_axi_rd.arprot = s_axi_rd.arprot; +assign m_axi_rd.arqos = s_axi_rd.arqos; +assign m_axi_rd.arregion = s_axi_rd.arregion; +assign m_axi_rd.aruser = ARUSER_EN ? s_axi_rd.aruser : '0; +assign m_axi_rd.arvalid = s_axi_rd.arvalid; +assign s_axi_rd.arready = m_axi_rd.arready; + +assign s_axi_rd.rid = m_axi_rd.rid; +assign s_axi_rd.rdata = m_axi_rd.rdata; +assign s_axi_rd.rresp = m_axi_rd.rresp; +assign s_axi_rd.rlast = m_axi_rd.rlast; +assign s_axi_rd.ruser = RUSER_EN ? m_axi_rd.ruser : '0; +assign s_axi_rd.rvalid = m_axi_rd.rvalid; +assign m_axi_rd.rready = s_axi_rd.rready; + +endmodule + +`resetall diff --git a/src/axi/rtl/taxi_axi_tie_wr.sv b/src/axi/rtl/taxi_axi_tie_wr.sv new file mode 100644 index 0000000..c99c18c --- /dev/null +++ b/src/axi/rtl/taxi_axi_tie_wr.sv @@ -0,0 +1,80 @@ +// SPDX-License-Identifier: MIT +/* + +Copyright (c) 2025 FPGA Ninja, LLC + +Authors: +- Alex Forencich + +*/ + +`resetall +`timescale 1ns / 1ps +`default_nettype none + +/* + * AXI4 tie (write) + */ +module taxi_axi_tie_wr +( + /* + * AXI4 slave interface + */ + taxi_axi_if.wr_slv s_axi_wr, + + /* + * AXI4 master interface + */ + taxi_axi_if.wr_mst m_axi_wr +); + +// extract parameters +localparam DATA_W = s_axi_wr.DATA_W; +localparam ADDR_W = s_axi_wr.ADDR_W; +localparam STRB_W = s_axi_wr.STRB_W; +localparam ID_W = s_axi_wr.ID_W; +localparam logic AWUSER_EN = s_axi_wr.AWUSER_EN && m_axi_wr.AWUSER_EN; +localparam AWUSER_W = s_axi_wr.AWUSER_W; +localparam logic WUSER_EN = s_axi_wr.WUSER_EN && m_axi_wr.WUSER_EN; +localparam WUSER_W = s_axi_wr.WUSER_W; +localparam logic BUSER_EN = s_axi_wr.BUSER_EN && m_axi_wr.BUSER_EN; +localparam BUSER_W = s_axi_wr.BUSER_W; + +// check configuration +if (m_axi_wr.DATA_W != DATA_W) + $fatal(0, "Error: Interface DATA_W parameter mismatch (instance %m)"); + +if (m_axi_wr.STRB_W != STRB_W) + $fatal(0, "Error: Interface STRB_W parameter mismatch (instance %m)"); + +// bypass AW channel +assign m_axi_wr.awid = s_axi_wr.awid; +assign m_axi_wr.awaddr = s_axi_wr.awaddr; +assign m_axi_wr.awlen = s_axi_wr.awlen; +assign m_axi_wr.awsize = s_axi_wr.awsize; +assign m_axi_wr.awburst = s_axi_wr.awburst; +assign m_axi_wr.awlock = s_axi_wr.awlock; +assign m_axi_wr.awcache = s_axi_wr.awcache; +assign m_axi_wr.awprot = s_axi_wr.awprot; +assign m_axi_wr.awqos = s_axi_wr.awqos; +assign m_axi_wr.awregion = s_axi_wr.awregion; +assign m_axi_wr.awuser = AWUSER_EN ? s_axi_wr.awuser : '0; +assign m_axi_wr.awvalid = s_axi_wr.awvalid; +assign s_axi_wr.awready = m_axi_wr.awready; + +assign m_axi_wr.wdata = s_axi_wr.wdata; +assign m_axi_wr.wstrb = s_axi_wr.wstrb; +assign m_axi_wr.wlast = s_axi_wr.wlast; +assign m_axi_wr.wuser = WUSER_EN ? s_axi_wr.wuser : '0; +assign m_axi_wr.wvalid = s_axi_wr.wvalid; +assign s_axi_wr.wready = m_axi_wr.wready; + +assign s_axi_wr.bid = m_axi_wr.bid; +assign s_axi_wr.bresp = m_axi_wr.bresp; +assign s_axi_wr.buser = BUSER_EN ? m_axi_wr.buser : '0; +assign s_axi_wr.bvalid = m_axi_wr.bvalid; +assign m_axi_wr.bready = s_axi_wr.bready; + +endmodule + +`resetall