From cae7053e787b9db26188a3535d39f5e408240cc0 Mon Sep 17 00:00:00 2001 From: Alex Forencich Date: Fri, 7 Nov 2025 12:23:00 -0800 Subject: [PATCH] eth: Update KC705 example XDC Signed-off-by: Alex Forencich --- src/eth/example/KC705/fpga/fpga.xdc | 1 + 1 file changed, 1 insertion(+) diff --git a/src/eth/example/KC705/fpga/fpga.xdc b/src/eth/example/KC705/fpga/fpga.xdc index def06ab..c5cc284 100644 --- a/src/eth/example/KC705/fpga/fpga.xdc +++ b/src/eth/example/KC705/fpga/fpga.xdc @@ -82,6 +82,7 @@ set_input_delay 0 [get_ports {uart_rxd uart_rts}] # I2C interface #set_property -dict {LOC K21 IOSTANDARD LVCMOS25 SLEW SLOW DRIVE 8} [get_ports i2c_scl] #set_property -dict {LOC L21 IOSTANDARD LVCMOS25 SLEW SLOW DRIVE 8} [get_ports i2c_sda] +#set_property -dict {LOC P23 IOSTANDARD LVCMOS25 SLEW SLOW DRIVE 8} [get_ports i2c_mux_reset] #set_false_path -to [get_ports {i2c_sda i2c_scl}] #set_output_delay 0 [get_ports {i2c_sda i2c_scl}]