mirror of
https://github.com/fpganinja/taxi.git
synced 2025-12-09 00:48:40 -08:00
75 lines
1.2 KiB
Systemverilog
75 lines
1.2 KiB
Systemverilog
// SPDX-License-Identifier: CERN-OHL-S-2.0
|
|
/*
|
|
|
|
Copyright (c) 2025 FPGA Ninja, LLC
|
|
|
|
Authors:
|
|
- Alex Forencich
|
|
|
|
*/
|
|
|
|
`resetall
|
|
`timescale 1ns / 1ps
|
|
`default_nettype none
|
|
|
|
/*
|
|
* AXI4-Stream register testbench
|
|
*/
|
|
module test_taxi_axis_register #
|
|
(
|
|
/* verilator lint_off WIDTHTRUNC */
|
|
parameter DATA_W = 8,
|
|
parameter logic KEEP_EN = (DATA_W>8),
|
|
parameter KEEP_W = ((DATA_W+7)/8),
|
|
parameter logic STRB_EN = 1'b0,
|
|
parameter logic LAST_EN = 1'b1,
|
|
parameter logic ID_EN = 1'b0,
|
|
parameter ID_W = 8,
|
|
parameter logic DEST_EN = 1'b0,
|
|
parameter DEST_W = 8,
|
|
parameter logic USER_EN = 1'b1,
|
|
parameter USER_W = 1,
|
|
parameter REG_TYPE = 2
|
|
/* verilator lint_on WIDTHTRUNC */
|
|
)
|
|
();
|
|
|
|
logic clk;
|
|
logic rst;
|
|
|
|
taxi_axis_if #(
|
|
.DATA_W(DATA_W),
|
|
.KEEP_EN(KEEP_EN),
|
|
.KEEP_W(KEEP_W),
|
|
.STRB_EN(STRB_EN),
|
|
.LAST_EN(LAST_EN),
|
|
.ID_EN(ID_EN),
|
|
.ID_W(ID_W),
|
|
.DEST_EN(DEST_EN),
|
|
.DEST_W(DEST_W),
|
|
.USER_EN(USER_EN),
|
|
.USER_W(USER_W)
|
|
) s_axis(), m_axis();
|
|
|
|
taxi_axis_register #(
|
|
.REG_TYPE(REG_TYPE)
|
|
)
|
|
uut (
|
|
.clk(clk),
|
|
.rst(rst),
|
|
|
|
/*
|
|
* AXI4-Stream input (sink)
|
|
*/
|
|
.s_axis(s_axis),
|
|
|
|
/*
|
|
* AXI4-Stream output (source)
|
|
*/
|
|
.m_axis(m_axis)
|
|
);
|
|
|
|
endmodule
|
|
|
|
`resetall
|