Files
build_fpga/test/src/test_module.sv
2024-12-26 22:01:11 -08:00

20 lines
292 B
Systemverilog

module test_module (
input i_clk,
input i_rst,
output logic [31:0] o_count
);
logic [31:0] counter;
always_ff @(posedge i_clk) begin
if (i_rst) begin
counter <= '0;
end else begin
counter <= counter + 1;
end
end
assign o_count = counter;
endmodule