Update IP

This commit is contained in:
Byron Lathi
2023-07-19 21:06:20 -07:00
parent 2f11808f11
commit 21e3a477c1
26 changed files with 1804 additions and 4334 deletions

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@@ -1,11 +1,11 @@
// ============================================================================= // =============================================================================
// Generated by efx_ipmgr // Generated by efx_ipmgr
// Version: 2022.2.322 // Version: 2023.1.150
// IP Version: 2.2 // IP Version: 5.0
// ============================================================================= // =============================================================================
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. // Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// //
// This document contains proprietary information which is // This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice // protected by copyright. All rights are reserved. This notice
@@ -43,7 +43,7 @@
// //
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
`define IP_UUID _e54826097db04c8995c0c56653e54765 `define IP_UUID _80fa5e3b79ce4c76a6cd48724ad5bdd2
`define IP_NAME_CONCAT(a,b) a``b `define IP_NAME_CONCAT(a,b) a``b
`define IP_MODULE_NAME(name) `IP_NAME_CONCAT(name,`IP_UUID) `define IP_MODULE_NAME(name) `IP_NAME_CONCAT(name,`IP_UUID)
module divider ( module divider (
@@ -61,7 +61,7 @@ output rfd
.WIDTHN (16), .WIDTHN (16),
.WIDTHD (16), .WIDTHD (16),
.DREPRESENTATION ("UNSIGNED"), .DREPRESENTATION ("UNSIGNED"),
.PIPELINE (0), .PIPELINE (1'b0),
.LATENCY (16) .LATENCY (16)
) u_divider( ) u_divider(
.numer ( numer ), .numer ( numer ),

View File

@@ -1,11 +1,11 @@
// ============================================================================= // =============================================================================
// Generated by efx_ipmgr // Generated by efx_ipmgr
// Version: 2022.2.322 // Version: 2023.1.150
// IP Version: 2.2 // IP Version: 5.0
// ============================================================================= // =============================================================================
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. // Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// //
// This document contains proprietary information which is // This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice // protected by copyright. All rights are reserved. This notice
@@ -47,5 +47,5 @@ localparam NREPRESENTATION = "UNSIGNED";
localparam WIDTHN = 16; localparam WIDTHN = 16;
localparam WIDTHD = 16; localparam WIDTHD = 16;
localparam DREPRESENTATION = "UNSIGNED"; localparam DREPRESENTATION = "UNSIGNED";
localparam PIPELINE = 0; localparam PIPELINE = 1'b0;
localparam LATENCY = 16; localparam LATENCY = 16;

View File

@@ -1,5 +1,5 @@
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. // Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// //
// This document contains proprietary information which is // This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice // protected by copyright. All rights are reserved. This notice

View File

@@ -1,41 +1,41 @@
//////////////////////////////////////////////////////////////////////////////// --------------------------------------------------------------------------------
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. -- Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// --
// This document contains proprietary information which is -- This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice -- protected by copyright. All rights are reserved. This notice
// refers to original work by Efinix, Inc. which may be derivitive -- refers to original work by Efinix, Inc. which may be derivitive
// of other work distributed under license of the authors. In the -- of other work distributed under license of the authors. In the
// case of derivative work, nothing in this notice overrides the -- case of derivative work, nothing in this notice overrides the
// original author's license agreement. Where applicable, the -- original author's license agreement. Where applicable, the
// original license agreement is included in it's original -- original license agreement is included in it's original
// unmodified form immediately below this header. -- unmodified form immediately below this header.
// --
// WARRANTY DISCLAIMER. -- WARRANTY DISCLAIMER.
// THE DESIGN, CODE, OR INFORMATION ARE PROVIDED AS IS AND -- THE DESIGN, CODE, OR INFORMATION ARE PROVIDED “AS IS” AND
// EFINIX MAKES NO WARRANTIES, EXPRESS OR IMPLIED WITH -- EFINIX MAKES NO WARRANTIES, EXPRESS OR IMPLIED WITH
// RESPECT THERETO, AND EXPRESSLY DISCLAIMS ANY IMPLIED WARRANTIES, -- RESPECT THERETO, AND EXPRESSLY DISCLAIMS ANY IMPLIED WARRANTIES,
// INCLUDING, WITHOUT LIMITATION, THE IMPLIED WARRANTIES OF -- INCLUDING, WITHOUT LIMITATION, THE IMPLIED WARRANTIES OF
// MERCHANTABILITY, NON-INFRINGEMENT AND FITNESS FOR A PARTICULAR -- MERCHANTABILITY, NON-INFRINGEMENT AND FITNESS FOR A PARTICULAR
// PURPOSE. SOME STATES DO NOT ALLOW EXCLUSIONS OF AN IMPLIED -- PURPOSE. SOME STATES DO NOT ALLOW EXCLUSIONS OF AN IMPLIED
// WARRANTY, SO THIS DISCLAIMER MAY NOT APPLY TO LICENSEE. -- WARRANTY, SO THIS DISCLAIMER MAY NOT APPLY TO LICENSEE.
// --
// LIMITATION OF LIABILITY. -- LIMITATION OF LIABILITY.
// NOTWITHSTANDING ANYTHING TO THE CONTRARY, EXCEPT FOR BODILY -- NOTWITHSTANDING ANYTHING TO THE CONTRARY, EXCEPT FOR BODILY
// INJURY, EFINIX SHALL NOT BE LIABLE WITH RESPECT TO ANY SUBJECT -- INJURY, EFINIX SHALL NOT BE LIABLE WITH RESPECT TO ANY SUBJECT
// MATTER OF THIS AGREEMENT UNDER TORT, CONTRACT, STRICT LIABILITY -- MATTER OF THIS AGREEMENT UNDER TORT, CONTRACT, STRICT LIABILITY
// OR ANY OTHER LEGAL OR EQUITABLE THEORY (I) FOR ANY INDIRECT, -- OR ANY OTHER LEGAL OR EQUITABLE THEORY (I) FOR ANY INDIRECT,
// SPECIAL, INCIDENTAL, EXEMPLARY OR CONSEQUENTIAL DAMAGES OF ANY -- SPECIAL, INCIDENTAL, EXEMPLARY OR CONSEQUENTIAL DAMAGES OF ANY
// CHARACTER INCLUDING, WITHOUT LIMITATION, DAMAGES FOR LOSS OF -- CHARACTER INCLUDING, WITHOUT LIMITATION, DAMAGES FOR LOSS OF
// GOODWILL, DATA OR PROFIT, WORK STOPPAGE, OR COMPUTER FAILURE OR -- GOODWILL, DATA OR PROFIT, WORK STOPPAGE, OR COMPUTER FAILURE OR
// MALFUNCTION, OR IN ANY EVENT (II) FOR ANY AMOUNT IN EXCESS, IN -- MALFUNCTION, OR IN ANY EVENT (II) FOR ANY AMOUNT IN EXCESS, IN
// THE AGGREGATE, OF THE FEE PAID BY LICENSEE TO EFINIX HEREUNDER -- THE AGGREGATE, OF THE FEE PAID BY LICENSEE TO EFINIX HEREUNDER
// (OR, IF THE FEE HAS BEEN WAIVED, $100), EVEN IF EFINIX SHALL HAVE -- (OR, IF THE FEE HAS BEEN WAIVED, $100), EVEN IF EFINIX SHALL HAVE
// BEEN INFORMED OF THE POSSIBILITY OF SUCH DAMAGES. SOME STATES DO -- BEEN INFORMED OF THE POSSIBILITY OF SUCH DAMAGES. SOME STATES DO
// NOT ALLOW THE EXCLUSION OR LIMITATION OF INCIDENTAL OR -- NOT ALLOW THE EXCLUSION OR LIMITATION OF INCIDENTAL OR
// CONSEQUENTIAL DAMAGES, SO THIS LIMITATION AND EXCLUSION MAY NOT -- CONSEQUENTIAL DAMAGES, SO THIS LIMITATION AND EXCLUSION MAY NOT
// APPLY TO LICENSEE. -- APPLY TO LICENSEE.
// --
//////////////////////////////////////////////////////////////////////////////// --------------------------------------------------------------------------------
------------- Begin Cut here for COMPONENT Declaration ------ ------------- Begin Cut here for COMPONENT Declaration ------
COMPONENT divider is COMPONENT divider is
PORT ( PORT (

Binary file not shown.

Binary file not shown.

View File

@@ -3,31 +3,31 @@
"-o", "-o",
"divider", "divider",
"--base_path", "--base_path",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip", "/tmp/tmpeltk99q_/ip",
"--vlnv", "--vlnv",
{ {
"vendor": "efinixinc.com", "vendor": "efinixinc.com",
"library": "arithmetic", "library": "arithmetic",
"name": "efx_divider", "name": "efx_divider",
"version": "2.2" "version": "5.0"
} }
], ],
"conf": { "conf": {
"NREPRESENTATION": "0", "NREPRESENTATION": "\"UNSIGNED\"",
"WIDTHN": "16", "WIDTHN": "16",
"WIDTHD": "16", "WIDTHD": "16",
"DREPRESENTATION": "0", "DREPRESENTATION": "\"UNSIGNED\"",
"PIPELINE": "0", "PIPELINE": "1'b0",
"LATENCY": "16" "LATENCY": "16"
}, },
"output": { "output": {
"external_source_source": [ "external_source_source": [
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/divider/divider.v", "/tmp/tmpeltk99q_/ip/divider/divider_tmpl.vhd",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/divider/divider_define.vh", "/tmp/tmpeltk99q_/ip/divider/divider_define.vh",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/divider/divider_tmpl.vhd", "/tmp/tmpeltk99q_/ip/divider/divider_tmpl.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/divider/divider_tmpl.v" "/tmp/tmpeltk99q_/ip/divider/divider.v"
] ]
}, },
"sw_version": "2022.2.322", "sw_version": "2023.1.150",
"generated_date": "2023-01-05T23:44:10.084005" "generated_date": "2023-07-16T16:45:12.554696"
} }

Binary file not shown.

View File

@@ -1,11 +1,11 @@
// ============================================================================= // =============================================================================
// Generated by efx_ipmgr // Generated by efx_ipmgr
// Version: 2022.2.322 // Version: 2023.1.150
// IP Version: 1.6 // IP Version: 5.0
// ============================================================================= // =============================================================================
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. // Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// //
// This document contains proprietary information which is // This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice // protected by copyright. All rights are reserved. This notice
@@ -43,7 +43,7 @@
// //
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
`define IP_UUID _08775b1d2de94ebcb82f5350820af2e3 `define IP_UUID _8fa1502251ff4c338cc5b2fd6c7f050a
`define IP_NAME_CONCAT(a,b) a``b `define IP_NAME_CONCAT(a,b) a``b
`define IP_MODULE_NAME(name) `IP_NAME_CONCAT(name,`IP_UUID) `define IP_MODULE_NAME(name) `IP_NAME_CONCAT(name,`IP_UUID)
module sdram_controller ( module sdram_controller (

View File

@@ -1,11 +1,11 @@
// ============================================================================= // =============================================================================
// Generated by efx_ipmgr // Generated by efx_ipmgr
// Version: 2022.2.322 // Version: 2023.1.150
// IP Version: 1.6 // IP Version: 5.0
// ============================================================================= // =============================================================================
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. // Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// //
// This document contains proprietary information which is // This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice // protected by copyright. All rights are reserved. This notice

View File

@@ -1,5 +1,5 @@
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. // Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// //
// This document contains proprietary information which is // This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice // protected by copyright. All rights are reserved. This notice

View File

@@ -1,41 +1,41 @@
//////////////////////////////////////////////////////////////////////////////// --------------------------------------------------------------------------------
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. -- Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// --
// This document contains proprietary information which is -- This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice -- protected by copyright. All rights are reserved. This notice
// refers to original work by Efinix, Inc. which may be derivitive -- refers to original work by Efinix, Inc. which may be derivitive
// of other work distributed under license of the authors. In the -- of other work distributed under license of the authors. In the
// case of derivative work, nothing in this notice overrides the -- case of derivative work, nothing in this notice overrides the
// original author's license agreement. Where applicable, the -- original author's license agreement. Where applicable, the
// original license agreement is included in it's original -- original license agreement is included in it's original
// unmodified form immediately below this header. -- unmodified form immediately below this header.
// --
// WARRANTY DISCLAIMER. -- WARRANTY DISCLAIMER.
// THE DESIGN, CODE, OR INFORMATION ARE PROVIDED AS IS AND -- THE DESIGN, CODE, OR INFORMATION ARE PROVIDED “AS IS” AND
// EFINIX MAKES NO WARRANTIES, EXPRESS OR IMPLIED WITH -- EFINIX MAKES NO WARRANTIES, EXPRESS OR IMPLIED WITH
// RESPECT THERETO, AND EXPRESSLY DISCLAIMS ANY IMPLIED WARRANTIES, -- RESPECT THERETO, AND EXPRESSLY DISCLAIMS ANY IMPLIED WARRANTIES,
// INCLUDING, WITHOUT LIMITATION, THE IMPLIED WARRANTIES OF -- INCLUDING, WITHOUT LIMITATION, THE IMPLIED WARRANTIES OF
// MERCHANTABILITY, NON-INFRINGEMENT AND FITNESS FOR A PARTICULAR -- MERCHANTABILITY, NON-INFRINGEMENT AND FITNESS FOR A PARTICULAR
// PURPOSE. SOME STATES DO NOT ALLOW EXCLUSIONS OF AN IMPLIED -- PURPOSE. SOME STATES DO NOT ALLOW EXCLUSIONS OF AN IMPLIED
// WARRANTY, SO THIS DISCLAIMER MAY NOT APPLY TO LICENSEE. -- WARRANTY, SO THIS DISCLAIMER MAY NOT APPLY TO LICENSEE.
// --
// LIMITATION OF LIABILITY. -- LIMITATION OF LIABILITY.
// NOTWITHSTANDING ANYTHING TO THE CONTRARY, EXCEPT FOR BODILY -- NOTWITHSTANDING ANYTHING TO THE CONTRARY, EXCEPT FOR BODILY
// INJURY, EFINIX SHALL NOT BE LIABLE WITH RESPECT TO ANY SUBJECT -- INJURY, EFINIX SHALL NOT BE LIABLE WITH RESPECT TO ANY SUBJECT
// MATTER OF THIS AGREEMENT UNDER TORT, CONTRACT, STRICT LIABILITY -- MATTER OF THIS AGREEMENT UNDER TORT, CONTRACT, STRICT LIABILITY
// OR ANY OTHER LEGAL OR EQUITABLE THEORY (I) FOR ANY INDIRECT, -- OR ANY OTHER LEGAL OR EQUITABLE THEORY (I) FOR ANY INDIRECT,
// SPECIAL, INCIDENTAL, EXEMPLARY OR CONSEQUENTIAL DAMAGES OF ANY -- SPECIAL, INCIDENTAL, EXEMPLARY OR CONSEQUENTIAL DAMAGES OF ANY
// CHARACTER INCLUDING, WITHOUT LIMITATION, DAMAGES FOR LOSS OF -- CHARACTER INCLUDING, WITHOUT LIMITATION, DAMAGES FOR LOSS OF
// GOODWILL, DATA OR PROFIT, WORK STOPPAGE, OR COMPUTER FAILURE OR -- GOODWILL, DATA OR PROFIT, WORK STOPPAGE, OR COMPUTER FAILURE OR
// MALFUNCTION, OR IN ANY EVENT (II) FOR ANY AMOUNT IN EXCESS, IN -- MALFUNCTION, OR IN ANY EVENT (II) FOR ANY AMOUNT IN EXCESS, IN
// THE AGGREGATE, OF THE FEE PAID BY LICENSEE TO EFINIX HEREUNDER -- THE AGGREGATE, OF THE FEE PAID BY LICENSEE TO EFINIX HEREUNDER
// (OR, IF THE FEE HAS BEEN WAIVED, $100), EVEN IF EFINIX SHALL HAVE -- (OR, IF THE FEE HAS BEEN WAIVED, $100), EVEN IF EFINIX SHALL HAVE
// BEEN INFORMED OF THE POSSIBILITY OF SUCH DAMAGES. SOME STATES DO -- BEEN INFORMED OF THE POSSIBILITY OF SUCH DAMAGES. SOME STATES DO
// NOT ALLOW THE EXCLUSION OR LIMITATION OF INCIDENTAL OR -- NOT ALLOW THE EXCLUSION OR LIMITATION OF INCIDENTAL OR
// CONSEQUENTIAL DAMAGES, SO THIS LIMITATION AND EXCLUSION MAY NOT -- CONSEQUENTIAL DAMAGES, SO THIS LIMITATION AND EXCLUSION MAY NOT
// APPLY TO LICENSEE. -- APPLY TO LICENSEE.
// --
//////////////////////////////////////////////////////////////////////////////// --------------------------------------------------------------------------------
------------- Begin Cut here for COMPONENT Declaration ------ ------------- Begin Cut here for COMPONENT Declaration ------
COMPONENT sdram_controller is COMPONENT sdram_controller is
PORT ( PORT (

View File

@@ -3,20 +3,20 @@
"-o", "-o",
"sdram_controller", "sdram_controller",
"--base_path", "--base_path",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip", "/tmp/tmpc6xveluy/ip",
"--vlnv", "--vlnv",
{ {
"vendor": "efinixinc.com", "vendor": "efinixinc.com",
"library": "memory_controller", "library": "memory_controller",
"name": "efx_sdram_controller", "name": "efx_sdram_controller",
"version": "1.6" "version": "5.0"
} }
], ],
"conf": { "conf": {
"fCK_MHz": "200", "fCK_MHz": "200",
"tIORT_u": "2", "tIORT_u": "2",
"CL": "3", "CL": "3",
"DDIO_TYPE": "0", "DDIO_TYPE": "\"SOFT\"",
"DQ_GROUP": "2", "DQ_GROUP": "2",
"ROW_WIDTH": "13", "ROW_WIDTH": "13",
"COL_WIDTH": "9", "COL_WIDTH": "9",
@@ -28,17 +28,17 @@
"tREF": "64000000", "tREF": "64000000",
"tRFC": "66", "tRFC": "66",
"tRP": "20", "tRP": "20",
"SDRAM_MODE": "0", "SDRAM_MODE": "\"Native\"",
"DATA_RATE": "2" "DATA_RATE": "2"
}, },
"output": { "output": {
"external_source_source": [ "external_source_source": [
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/sdram_controller/sdram_controller_define.vh", "/tmp/tmpc6xveluy/ip/sdram_controller/sdram_controller_tmpl.vhd",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/sdram_controller/sdram_controller_tmpl.vhd", "/tmp/tmpc6xveluy/ip/sdram_controller/sdram_controller_define.vh",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/sdram_controller/sdram_controller_tmpl.v", "/tmp/tmpc6xveluy/ip/sdram_controller/sdram_controller_tmpl.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/sdram_controller/sdram_controller.v" "/tmp/tmpc6xveluy/ip/sdram_controller/sdram_controller.v"
] ]
}, },
"sw_version": "2022.2.322", "sw_version": "2023.1.150",
"generated_date": "2023-01-06T15:14:53.619359" "generated_date": "2023-07-16T16:45:19.021917"
} }

Binary file not shown.

Binary file not shown.

View File

@@ -9,26 +9,41 @@
"vendor": "efinixinc.com", "vendor": "efinixinc.com",
"library": "serial_interface", "library": "serial_interface",
"name": "efx_uart", "name": "efx_uart",
"version": "2.0" "version": "5.0"
} }
], ],
"conf": { "conf": {
"BYTE": "1", "BYTE": "1",
"CLOCK_FREQ": "50000000", "CLOCK_FREQ": "50000000",
"BAUD": "115200", "BAUD": "115200",
"ENABLE_PARITY": "0", "ENABLE_PARITY": "1'b0",
"FIX_BAUDRATE": "1", "FIX_BAUDRATE": "1'b1",
"PARITY_MODE": "0", "PARITY_MODE": "1'b0",
"BOOTUP_CHECK": "0" "BOOTUP_CHECK": "1'b1"
}, },
"output": { "output": {
"external_source_source": [ "external_source_source": [
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/uart.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/uart_define.vh", "/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/uart_define.vh",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/uart_tmpl.vhd", "/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/uart_tmpl.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/uart_tmpl.v" "/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/uart.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/uart_tmpl.vhd"
],
"external_example_example": [
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/command_state.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/decoder.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/encoder.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/led_ctl.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/resets.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/uart_defines.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/uart_demo_top.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/user_register.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/uart_demo.peri.xml",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/uart_demo.xml",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/uart_timing_T20.sdc",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/uart.v",
"/home/byron/Projects/super6502/hw/efinix_fpga/ip/uart/T20F256_devkit/uart_define.vh"
] ]
}, },
"sw_version": "2022.2.322", "sw_version": "2023.1.150",
"generated_date": "2023-01-12T01:01:22.177819" "generated_date": "2023-07-16T20:20:12.259229"
} }

View File

@@ -1,11 +1,11 @@
// ============================================================================= // =============================================================================
// Generated by efx_ipmgr // Generated by efx_ipmgr
// Version: 2022.2.322 // Version: 2023.1.150
// IP Version: 2.0 // IP Version: 5.0
// ============================================================================= // =============================================================================
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. // Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// //
// This document contains proprietary information which is // This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice // protected by copyright. All rights are reserved. This notice
@@ -43,7 +43,7 @@
// //
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
`define IP_UUID _5423258f8d324e3e81f7da25952c84a2 `define IP_UUID _d1961caf8b8d4ca092806671a99095c2
`define IP_NAME_CONCAT(a,b) a``b `define IP_NAME_CONCAT(a,b) a``b
`define IP_MODULE_NAME(name) `IP_NAME_CONCAT(name,`IP_UUID) `define IP_MODULE_NAME(name) `IP_NAME_CONCAT(name,`IP_UUID)
module uart ( module uart (
@@ -58,18 +58,18 @@ output rx_busy,
output baud_x16_ce, output baud_x16_ce,
input clk, input clk,
input reset, input reset,
input [7:0] tx_data,
input [2:0] baud_rate, input [2:0] baud_rate,
input tx_en input tx_en,
input [7:0] tx_data
); );
`IP_MODULE_NAME(top_uart) #( `IP_MODULE_NAME(top_uart) #(
.BYTE (1), .BYTE (1),
.CLOCK_FREQ (50000000), .CLOCK_FREQ (50000000),
.BAUD (115200), .BAUD (115200),
.ENABLE_PARITY (0), .ENABLE_PARITY (1'b0),
.FIX_BAUDRATE (1), .FIX_BAUDRATE (1'b1),
.PARITY_MODE (0), .PARITY_MODE (1'b0),
.BOOTUP_CHECK (0) .BOOTUP_CHECK (1'b1)
) u_top_uart( ) u_top_uart(
.tx_o ( tx_o ), .tx_o ( tx_o ),
.rx_i ( rx_i ), .rx_i ( rx_i ),
@@ -82,9 +82,9 @@ input tx_en
.baud_x16_ce ( baud_x16_ce ), .baud_x16_ce ( baud_x16_ce ),
.clk ( clk ), .clk ( clk ),
.reset ( reset ), .reset ( reset ),
.tx_data ( tx_data ),
.baud_rate ( baud_rate ), .baud_rate ( baud_rate ),
.tx_en ( tx_en ) .tx_en ( tx_en ),
.tx_data ( tx_data )
); );
endmodule endmodule

View File

@@ -1,11 +1,11 @@
// ============================================================================= // =============================================================================
// Generated by efx_ipmgr // Generated by efx_ipmgr
// Version: 2022.2.322 // Version: 2023.1.150
// IP Version: 2.0 // IP Version: 5.0
// ============================================================================= // =============================================================================
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. // Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// //
// This document contains proprietary information which is // This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice // protected by copyright. All rights are reserved. This notice
@@ -46,7 +46,7 @@
localparam BYTE = 1; localparam BYTE = 1;
localparam CLOCK_FREQ = 50000000; localparam CLOCK_FREQ = 50000000;
localparam BAUD = 115200; localparam BAUD = 115200;
localparam ENABLE_PARITY = 0; localparam ENABLE_PARITY = 1'b0;
localparam FIX_BAUDRATE = 1; localparam FIX_BAUDRATE = 1'b1;
localparam PARITY_MODE = 0; localparam PARITY_MODE = 1'b0;
localparam BOOTUP_CHECK = 0; localparam BOOTUP_CHECK = 1'b1;

View File

@@ -1,5 +1,5 @@
//////////////////////////////////////////////////////////////////////////////// ////////////////////////////////////////////////////////////////////////////////
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. // Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// //
// This document contains proprietary information which is // This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice // protected by copyright. All rights are reserved. This notice
@@ -49,7 +49,7 @@ uart u_uart(
.baud_x16_ce ( baud_x16_ce ), .baud_x16_ce ( baud_x16_ce ),
.clk ( clk ), .clk ( clk ),
.reset ( reset ), .reset ( reset ),
.tx_data ( tx_data ),
.baud_rate ( baud_rate ), .baud_rate ( baud_rate ),
.tx_en ( tx_en ) .tx_en ( tx_en ),
.tx_data ( tx_data )
); );

View File

@@ -1,41 +1,41 @@
//////////////////////////////////////////////////////////////////////////////// --------------------------------------------------------------------------------
// Copyright (C) 2013-2022 Efinix Inc. All rights reserved. -- Copyright (C) 2013-2023 Efinix Inc. All rights reserved.
// --
// This document contains proprietary information which is -- This document contains proprietary information which is
// protected by copyright. All rights are reserved. This notice -- protected by copyright. All rights are reserved. This notice
// refers to original work by Efinix, Inc. which may be derivitive -- refers to original work by Efinix, Inc. which may be derivitive
// of other work distributed under license of the authors. In the -- of other work distributed under license of the authors. In the
// case of derivative work, nothing in this notice overrides the -- case of derivative work, nothing in this notice overrides the
// original author's license agreement. Where applicable, the -- original author's license agreement. Where applicable, the
// original license agreement is included in it's original -- original license agreement is included in it's original
// unmodified form immediately below this header. -- unmodified form immediately below this header.
// --
// WARRANTY DISCLAIMER. -- WARRANTY DISCLAIMER.
// THE DESIGN, CODE, OR INFORMATION ARE PROVIDED AS IS AND -- THE DESIGN, CODE, OR INFORMATION ARE PROVIDED “AS IS” AND
// EFINIX MAKES NO WARRANTIES, EXPRESS OR IMPLIED WITH -- EFINIX MAKES NO WARRANTIES, EXPRESS OR IMPLIED WITH
// RESPECT THERETO, AND EXPRESSLY DISCLAIMS ANY IMPLIED WARRANTIES, -- RESPECT THERETO, AND EXPRESSLY DISCLAIMS ANY IMPLIED WARRANTIES,
// INCLUDING, WITHOUT LIMITATION, THE IMPLIED WARRANTIES OF -- INCLUDING, WITHOUT LIMITATION, THE IMPLIED WARRANTIES OF
// MERCHANTABILITY, NON-INFRINGEMENT AND FITNESS FOR A PARTICULAR -- MERCHANTABILITY, NON-INFRINGEMENT AND FITNESS FOR A PARTICULAR
// PURPOSE. SOME STATES DO NOT ALLOW EXCLUSIONS OF AN IMPLIED -- PURPOSE. SOME STATES DO NOT ALLOW EXCLUSIONS OF AN IMPLIED
// WARRANTY, SO THIS DISCLAIMER MAY NOT APPLY TO LICENSEE. -- WARRANTY, SO THIS DISCLAIMER MAY NOT APPLY TO LICENSEE.
// --
// LIMITATION OF LIABILITY. -- LIMITATION OF LIABILITY.
// NOTWITHSTANDING ANYTHING TO THE CONTRARY, EXCEPT FOR BODILY -- NOTWITHSTANDING ANYTHING TO THE CONTRARY, EXCEPT FOR BODILY
// INJURY, EFINIX SHALL NOT BE LIABLE WITH RESPECT TO ANY SUBJECT -- INJURY, EFINIX SHALL NOT BE LIABLE WITH RESPECT TO ANY SUBJECT
// MATTER OF THIS AGREEMENT UNDER TORT, CONTRACT, STRICT LIABILITY -- MATTER OF THIS AGREEMENT UNDER TORT, CONTRACT, STRICT LIABILITY
// OR ANY OTHER LEGAL OR EQUITABLE THEORY (I) FOR ANY INDIRECT, -- OR ANY OTHER LEGAL OR EQUITABLE THEORY (I) FOR ANY INDIRECT,
// SPECIAL, INCIDENTAL, EXEMPLARY OR CONSEQUENTIAL DAMAGES OF ANY -- SPECIAL, INCIDENTAL, EXEMPLARY OR CONSEQUENTIAL DAMAGES OF ANY
// CHARACTER INCLUDING, WITHOUT LIMITATION, DAMAGES FOR LOSS OF -- CHARACTER INCLUDING, WITHOUT LIMITATION, DAMAGES FOR LOSS OF
// GOODWILL, DATA OR PROFIT, WORK STOPPAGE, OR COMPUTER FAILURE OR -- GOODWILL, DATA OR PROFIT, WORK STOPPAGE, OR COMPUTER FAILURE OR
// MALFUNCTION, OR IN ANY EVENT (II) FOR ANY AMOUNT IN EXCESS, IN -- MALFUNCTION, OR IN ANY EVENT (II) FOR ANY AMOUNT IN EXCESS, IN
// THE AGGREGATE, OF THE FEE PAID BY LICENSEE TO EFINIX HEREUNDER -- THE AGGREGATE, OF THE FEE PAID BY LICENSEE TO EFINIX HEREUNDER
// (OR, IF THE FEE HAS BEEN WAIVED, $100), EVEN IF EFINIX SHALL HAVE -- (OR, IF THE FEE HAS BEEN WAIVED, $100), EVEN IF EFINIX SHALL HAVE
// BEEN INFORMED OF THE POSSIBILITY OF SUCH DAMAGES. SOME STATES DO -- BEEN INFORMED OF THE POSSIBILITY OF SUCH DAMAGES. SOME STATES DO
// NOT ALLOW THE EXCLUSION OR LIMITATION OF INCIDENTAL OR -- NOT ALLOW THE EXCLUSION OR LIMITATION OF INCIDENTAL OR
// CONSEQUENTIAL DAMAGES, SO THIS LIMITATION AND EXCLUSION MAY NOT -- CONSEQUENTIAL DAMAGES, SO THIS LIMITATION AND EXCLUSION MAY NOT
// APPLY TO LICENSEE. -- APPLY TO LICENSEE.
// --
//////////////////////////////////////////////////////////////////////////////// --------------------------------------------------------------------------------
------------- Begin Cut here for COMPONENT Declaration ------ ------------- Begin Cut here for COMPONENT Declaration ------
COMPONENT uart is COMPONENT uart is
PORT ( PORT (
@@ -50,9 +50,9 @@ rx_busy : out std_logic;
baud_x16_ce : out std_logic; baud_x16_ce : out std_logic;
clk : in std_logic; clk : in std_logic;
reset : in std_logic; reset : in std_logic;
tx_data : in std_logic_vector(7 downto 0);
baud_rate : in std_logic_vector(2 downto 0); baud_rate : in std_logic_vector(2 downto 0);
tx_en : in std_logic); tx_en : in std_logic;
tx_data : in std_logic_vector(7 downto 0));
END COMPONENT; END COMPONENT;
---------------------- End COMPONENT Declaration ------------ ---------------------- End COMPONENT Declaration ------------
@@ -70,7 +70,7 @@ rx_busy => rx_busy,
baud_x16_ce => baud_x16_ce, baud_x16_ce => baud_x16_ce,
clk => clk, clk => clk,
reset => reset, reset => reset,
tx_data => tx_data,
baud_rate => baud_rate, baud_rate => baud_rate,
tx_en => tx_en); tx_en => tx_en,
tx_data => tx_data);
------------------------ End INSTANTIATION Template --------- ------------------------ End INSTANTIATION Template ---------

View File

@@ -1,5 +1,5 @@
<?xml version="1.0" encoding="UTF-8"?> <?xml version="1.0" encoding="UTF-8"?>
<efxpt:design_db name="super6502" device_def="T20F256" location="/home/byron/Projects/super6502/hw/efinix_fpga" version="2022.2.322" db_version="20222999" last_change_date="Thu Jan 12 16:23:51 2023" xmlns:efxpt="http://www.efinixinc.com/peri_design_db" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.efinixinc.com/peri_design_db peri_design_db.xsd "> <efxpt:design_db name="super6502" device_def="T20F256" location="/home/byron/Projects/super6502/hw/efinix_fpga" version="2023.1.150" db_version="20231999" last_change_date="Sun Jul 16 13:10:02 2023" xmlns:efxpt="http://www.efinixinc.com/peri_design_db" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.efinixinc.com/peri_design_db peri_design_db.xsd ">
<efxpt:device_info> <efxpt:device_info>
<efxpt:iobank_info> <efxpt:iobank_info>
<efxpt:iobank name="1A" iostd="3.3 V LVTTL / LVCMOS"/> <efxpt:iobank name="1A" iostd="3.3 V LVTTL / LVCMOS"/>
@@ -326,7 +326,7 @@
<efxpt:input_config name="uart_rx" name_ddio_lo="" conn_type="normal" is_register="false" clock_name="" is_clock_inverted="false" pull_option="none" is_schmitt_trigger="false" ddio_type="none"/> <efxpt:input_config name="uart_rx" name_ddio_lo="" conn_type="normal" is_register="false" clock_name="" is_clock_inverted="false" pull_option="none" is_schmitt_trigger="false" ddio_type="none"/>
</efxpt:gpio> </efxpt:gpio>
<efxpt:gpio name="uart_tx" gpio_def="GPIOL_12" mode="output" bus_name="" is_lvds_gpio="false" io_standard="3.3 V LVTTL / LVCMOS"> <efxpt:gpio name="uart_tx" gpio_def="GPIOL_12" mode="output" bus_name="" is_lvds_gpio="false" io_standard="3.3 V LVTTL / LVCMOS">
<efxpt:output_config name="uart_tx" name_ddio_lo="" register_option="none" clock_name="" is_clock_inverted="false" is_slew_rate="false" tied_option="none" ddio_type="none" drive_strength="1"/> <efxpt:output_config name="uart_tx" name_ddio_lo="" register_option="none" clock_name="" is_clock_inverted="false" is_slew_rate="false" tied_option="none" ddio_type="none" drive_strength="4"/>
</efxpt:gpio> </efxpt:gpio>
<efxpt:global_unused_config state="input with weak pullup"/> <efxpt:global_unused_config state="input with weak pullup"/>
<efxpt:bus name="cpu_data" mode="inout" msb="7" lsb="0"/> <efxpt:bus name="cpu_data" mode="inout" msb="7" lsb="0"/>

View File

@@ -69,9 +69,11 @@ assign pll_cpu_reset = '1;
assign o_pll_reset = '1; assign o_pll_reset = '1;
assign cpu_data_oe = {8{cpu_rwb}}; assign cpu_data_oe = {8{cpu_rwb}};
assign cpu_rdy = '1;
assign cpu_nmib = '1; assign cpu_nmib = '1;
logic w_wait;
assign cpu_rdy = ~w_wait;
assign cpu_phi2 = clk_2; assign cpu_phi2 = clk_2;
logic w_sdr_init_done; logic w_sdr_init_done;
@@ -244,6 +246,7 @@ sdram_adapter u_sdram_adapter(
.o_data(w_sdram_data_out), .o_data(w_sdram_data_out),
.o_sdr_init_done(w_sdr_init_done), .o_sdr_init_done(w_sdr_init_done),
.o_wait(w_wait),
.o_sdr_CKE(o_sdr_CKE), .o_sdr_CKE(o_sdr_CKE),
.o_sdr_n_CS(o_sdr_n_CS), .o_sdr_n_CS(o_sdr_n_CS),

View File

@@ -1,5 +1,5 @@
<?xml version="1.0" encoding="UTF-8"?> <?xml version="1.0" encoding="UTF-8"?>
<efx:project name="super6502" description="" last_change_date="Thu January 12 2023 21:31:38" location="/home/byron/Projects/super6502/hw/efinix_fpga" sw_version="2022.2.322" last_run_state="pass" last_run_tool="efx_pgm" last_run_flow="bitstream" config_result_in_sync="true" design_ood="sync" place_ood="sync" route_ood="sync" xmlns:efx="http://www.efinixinc.com/enf_proj" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.efinixinc.com/enf_proj enf_proj.xsd"> <efx:project name="super6502" description="" last_change_date="Wed July 19 2023 21:04:26" location="/home/byron/Projects/super6502/hw/efinix_fpga" sw_version="2023.1.150" last_run_state="pass" last_run_tool="efx_pgm" last_run_flow="bitstream" config_result_in_sync="true" design_ood="sync" place_ood="sync" route_ood="sync" xmlns:efx="http://www.efinixinc.com/enf_proj" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.efinixinc.com/enf_proj enf_proj.xsd">
<efx:device_info> <efx:device_info>
<efx:family name="Trion"/> <efx:family name="Trion"/>
<efx:device name="T20F256"/> <efx:device name="T20F256"/>
@@ -8,11 +8,6 @@
<efx:design_info def_veri_version="sv_09" def_vhdl_version="vhdl_2008"> <efx:design_info def_veri_version="sv_09" def_vhdl_version="vhdl_2008">
<efx:top_module name="super6502"/> <efx:top_module name="super6502"/>
<efx:design_file name="super6502.sv" version="default" library="default"/> <efx:design_file name="super6502.sv" version="default" library="default"/>
<efx:design_file name="ip/bram/bram_primitive.v" version="verilog_2k" library="default"/>
<efx:design_file name="ip/bram/bram_decompose.vh" version="verilog_2k" library="default"/>
<efx:design_file name="ip/bram/bram_ini.vh" version="verilog_2k" library="default"/>
<efx:design_file name="ip/bram/efx_single_port_ram.v" version="verilog_2k" library="default"/>
<efx:design_file name="ip/bram/bram_wrapper_mwm.v" version="verilog_2k" library="default"/>
<efx:design_file name="leds.sv" version="default" library="default"/> <efx:design_file name="leds.sv" version="default" library="default"/>
<efx:design_file name="addr_decode.sv" version="default" library="default"/> <efx:design_file name="addr_decode.sv" version="default" library="default"/>
<efx:design_file name="sdram_adapter.sv" version="default" library="default"/> <efx:design_file name="sdram_adapter.sv" version="default" library="default"/>
@@ -23,6 +18,7 @@
<efx:design_file name="uart_wrapper.sv" version="default" library="default"/> <efx:design_file name="uart_wrapper.sv" version="default" library="default"/>
<efx:design_file name="sd_controller.sv" version="default" library="default"/> <efx:design_file name="sd_controller.sv" version="default" library="default"/>
<efx:design_file name="crc7.sv" version="default" library="default"/> <efx:design_file name="crc7.sv" version="default" library="default"/>
<efx:design_file name="rom.sv" version="default" library="default"/>
<efx:top_vhdl_arch name=""/> <efx:top_vhdl_arch name=""/>
</efx:design_info> </efx:design_info>
<efx:constraint_info> <efx:constraint_info>
@@ -69,6 +65,8 @@
<efx:param name="include" value="ip/sdram_controller" value_type="e_string"/> <efx:param name="include" value="ip/sdram_controller" value_type="e_string"/>
<efx:param name="include" value="ip/divider" value_type="e_string"/> <efx:param name="include" value="ip/divider" value_type="e_string"/>
<efx:param name="include" value="ip/uart" value_type="e_string"/> <efx:param name="include" value="ip/uart" value_type="e_string"/>
<efx:param name="mult-decomp-retime" value="0" value_type="e_option"/>
<efx:param name="optimize-zero-init-rom" value="1" value_type="e_option"/>
</efx:synthesis> </efx:synthesis>
<efx:place_and_route tool_name="efx_pnr"> <efx:place_and_route tool_name="efx_pnr">
<efx:param name="work_dir" value="work_pnr" value_type="e_string"/> <efx:param name="work_dir" value="work_pnr" value_type="e_string"/>